]> git.kernelconcepts.de Git - karo-tx-uboot.git/blob - board/mpl/common/vga_table.h
Initial revision
[karo-tx-uboot.git] / board / mpl / common / vga_table.h
1 /*
2  * (C) Copyright 2001
3  * Denis Peter, MPL AG, d.peter@mpl.ch.
4  *
5  * This program is free software; you can redistribute it and/or
6  * modify it under the terms of the GNU General Public License as
7  * published by the Free Software Foundation; either version 2 of
8  * the License, or (at your option) any later version.
9  *
10  * This program is distributed in the hope that it will be useful,
11  * but WITHOUT ANY WARRANTY; without even the implied warranty of
12  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13  * GNU General Public License for more details.
14  *
15  * You should have received a copy of the GNU General Public License
16  * along with this program; if not, write to the Free Software
17  * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
18  * MA 02111-1307 USA
19  *
20  * Note: Parts of these software are imported from
21  *       - UBL, The Universal Talkware Boot Loader
22  *         Copyright (C) 2000 Universal Talkware Inc.
23  *       - Linux
24  *
25  * File: vga_table.c
26  *
27  * contains all tables (include fonts) for the vga controller.
28  *
29  **********************************************/
30 #ifndef _VGA_TABLE_H
31 #define _VGA_TABLE_H
32
33 /* table for VGA Initialization  */
34 typedef struct {
35         const unsigned char reg;
36         const unsigned char val;
37 } VIDEO_CFG_TABLE;
38
39 /* Attribute table */
40 static VIDEO_CFG_TABLE attr[] = {
41         { 0x00, 0x00 }, /* black */
42         { 0x01, 0x01 }, /* blue */
43         { 0x02, 0x02 }, /* green */
44         { 0x03, 0x03 }, /* cyan */
45         { 0x04, 0x04 }, /* red */
46         { 0x05, 0x05 }, /* magenta */
47         { 0x06, 0x14 }, /* brown */
48         { 0x07, 0x07 }, /* white */
49         { 0x08, 0x38 }, /* dark gray */
50         { 0x09, 0x39 }, /* light blue */
51         { 0x0A, 0x3A }, /* light green */
52         { 0x0B, 0x3B }, /* light Cyan */
53         { 0x0C, 0x3C }, /* light red */
54         { 0x0D, 0x3D }, /* light magenta */
55         { 0x0E, 0x3E }, /* yellow */
56         { 0x0F, 0x3F }, /* intense white */
57         { 0x10, 0x0C }, /* mode control */
58         { 0x11, 0x00 }, /* overscan color */
59         { 0x12, 0x0f }, /* color plane enable */
60         { 0x13, 0x08 }, /* horizontal Pel Panning */
61         { 0x14, 0x00 }, /* color select */
62         { 0xFF, 0xFF}           /* end of table */
63 };
64
65 /* Values for VGA 80 x 25 Text mode */
66 static VIDEO_CFG_TABLE crtc[] = {
67         {0x00,0x5F},    /* horizontal total */
68         {0x01,0x4F},    /* horizontal displayed */
69         {0x02,0x50},    /* horizontal blank start */
70         {0x03,0x82},    /* horizontal blank end MIP520 BIOS*/
71         {0x04,0x55},    /* horizontal synch pos  MIP520 */
72         {0x05,0x9F},    /* horizontal synch width BIOS Source */
73         {0x06,0xBF},    /* vertical total BIOS MIP520*/
74         {0x07,0x1F},    /* overflow */
75         {0x08,0x00},    /* prescan  */
76         {0x09,0x4F},    /* maximum scanline */
77         {0x0A,0x0D},    /* cursor start */
78         {0x0B,0x0E},    /* cursor end */
79         {0x0C,0x00},    /* start address high byte */
80         {0x0D,0x00},    /* start address low byte */
81         {0x0E,0x07},    /* cursor location high byte */
82         {0x0F,0x80},    /* cursor location low byte */
83         {0x10,0x9C},    /* vertical synch start BIOS MIP520*/
84         {0x11,0x8E},    /* vertical synch end */
85         {0x12,0x8F},    /* vertical display enable end BIOS MIP520 */
86         {0x13,0x28},    /* offset */
87         {0x14,0x1F},    /* underline row */
88         {0x15,0x96},    /* verical blank start */
89         {0x16,0xB9},    /* verical blank end */
90         {0x17,0xA3},    /* crt Mode Control */
91         {0x18,0xFF},    /* line compare */
92         /* added for 69000 */
93         {0x22,0x20},    /* Memory Latch */
94         {0x30,0x00},    /* ext. total vertical register */
95         {0x31,0x00},    /* ext. vertical display end */
96         {0x32,0x00},    /* ext. vertical synch */
97         {0x33,0x00},    /* ext. vertical blanking start */
98         {0x38,0x00},    /* ext. total horizontal register */
99         {0x3C,0x00},    /* ext. horizontal blanking end */
100         {0x40,0x00},    /* ext. start address */
101         {0x41,0x00},    /* ext. offset */
102         {0x70,0x4F},    /* interlace control register */
103         {0x71,0x00},    /* NTSC/PAL Video Output Control */
104         {0x72,0x00},    /* NTSC/PAL horizontal serration 1 start */
105         {0x73,0x00},    /* NTSC/PAL horizontal serration 2 start */
106         {0x74,0x00},    /* NTSC/PAL horizontal pulse width */
107         {0x75,0x00},    /* NTSC/PAL filtering burst read length */
108         {0x76,0x00},    /* NTSC/PAL filtering burst read quantity */
109         {0x77,0x00},    /* NTSC/PAL Filtering Control */
110         {0x78,0x00},    /* NTSC/PAL Vertical reduction */
111         {0x79,0x00},    /* NTSC/PAL horizontal total fine adjust */
112         {0xFF,0xFF}             /* end of table */
113 };
114
115 static VIDEO_CFG_TABLE grmr[] = {
116         {0x00,0x00},    /* all planes are reset */
117         {0x01,0x00},    /* no special altering of plane */
118         {0x02,0x00},    /* color compare */
119         {0x03,0x00},    /* data rotate */
120         {0x04,0x00},    /* read plane 0 */
121         {0x05,0x10},    /* Mode */
122         {0x06,0x0E},    /* misc */
123         {0x07,0x00},    /* Color */
124         {0x08,0xFF},    /* Bitmask */
125         {0xFF,0xFF}             /* end of table */
126 };
127
128
129 static VIDEO_CFG_TABLE seq[] = {
130         {0x00,0x03},    /* release the resets */
131         {0x01,0x00},    /* clocking mode */
132         {0x02,0x03},    /* enable plane 0,1 access */
133         {0x03,0x00},    /* character map */
134         {0x04,0x02},    /* Memory mode */
135         {0x07,0x00},    /* Reset Counter */
136         {0xFF,0xFF}             /* end of table */
137 };
138
139 static VIDEO_CFG_TABLE xreg[] = {
140
141         { 0x0A, 0x00 }, /* Frame Buffer Mapping */
142         { 0x0B, 0x01 }, /* PCI Write Burst support */
143         { 0x0E, 0x00 }, /* Frame buffer Page select */
144         { 0x20, 0x00 }, /* BitBLT Configuration */
145         /*      { 0x40, 0x03 }, */ /* Memory Access Control */
146         { 0x40, 0x00 }, /* Memory Access Control */
147         { 0x60, 0x00 }, /* Video Pin Control */
148         { 0x61, 0x00 }, /* DPMS Synch control */
149         { 0x62, 0x00 }, /* GPIO Pin Control */
150         { 0x63, 0xBD }, /* GPIO Pin Data*/
151         { 0x67, 0x00 }, /* Pin Tri-State */
152         { 0x80, 0x00 }, /* Pixel Pipeline Config 0 register */
153         { 0x81, 0x00 }, /* Pixel Pipeline Config 1 register */
154         { 0x82, 0x00 }, /* Pixel Pipeline Config 2 register */
155         { 0xA0, 0x00 }, /* Cursor 1 Control Reg */
156         { 0xA1, 0x00 }, /* Cursor 1 Vertical Extension Reg */
157         { 0xA2, 0x00 }, /* Cursor 1 Base Address Low */
158         { 0xA3, 0x00 }, /* Cursor 1 Base Address High */
159         { 0xA4, 0x00 }, /* Cursor 1 X-Position Low */
160         { 0xA5, 0x00 }, /* Cursor 1 X-Position High */
161         { 0xA6, 0x00 }, /* Cursor 1 Y-Position Low */
162         { 0xA7, 0x00 }, /* Cursor 1 Y-Position High */
163         { 0xA8, 0x00 }, /* Cursor 2 Control Reg */
164         { 0xA9, 0x00 }, /* Cursor 2 Vertical Extension Reg */
165         { 0xAA, 0x00 }, /* Cursor 2 Base Address Low */
166         { 0xAB, 0x00 }, /* Cursor 2 Base Address High */
167         { 0xAC, 0x00 }, /* Cursor 2 X-Position Low */
168         { 0xAD, 0x00 }, /* Cursor 2 X-Position High */
169         { 0xAE, 0x00 }, /* Cursor 2 Y-Position Low */
170         { 0xAF, 0x00 }, /* Cursor 2 Y-Position High */
171         { 0xC0, 0x7D }, /* Dot Clock 0 VCO M-Divisor */
172         { 0xC1, 0x07 }, /* Dot Clock 0 VCO N-Divisor */
173         { 0xC3, 0x34 }, /* Dot Clock 0 Divisor select */
174         { 0xC4, 0x55 }, /* Dot Clock 1 VCO M-Divisor */
175         { 0xC5, 0x09 }, /* Dot Clock 1 VCO N-Divisor */
176         { 0xC7, 0x24 }, /* Dot Clock 1 Divisor select */
177         { 0xC8, 0x7D }, /* Dot Clock 2 VCO M-Divisor */
178         { 0xC9, 0x07 }, /* Dot Clock 2 VCO N-Divisor */
179         { 0xCB, 0x34 }, /* Dot Clock 2 Divisor select */
180         { 0xCC, 0x38 }, /* Memory Clock 0 VCO M-Divisor */
181         { 0xCD, 0x03 }, /* Memory Clock 0 VCO N-Divisor */
182         { 0xCE, 0x90 }, /* Memory Clock 0 Divisor select */
183         { 0xCF, 0x06 }, /* Clock Config */
184         { 0xD0, 0x0F }, /* Power Down */
185         { 0xD1, 0x01 }, /* Power Down BitBLT */
186         /*      { 0xD2, 0x0F }, */  /* 2KHz Counter Power Down  */
187         { 0xFF, 0xFF}                   /* end of table */
188 };
189 /* Clock Config:
190  * If Loop Divisor = 1
191  *   Fvco = (Fref * M/N)
192  *       Fout = Fvco / Post Divisor
193  * Dot Clk0:
194  * ---------
195  * M  = (M Div + 2) = 127
196  * N  = (N Div + 2) = 9
197  * Post Divisor =     8
198  * Loop Divisor =     1
199  * Fref = 14.31818MHz => Fvco 202.045MHz => Dot Clock 0 = 25.25MHz
200  *
201  * Dot Clk1:
202  * ---------
203  * M  = (M Div + 2) = 57
204  * N  = (N Div + 2) = 11
205  * Post Divisor =     4
206  * Loop Divisor =     1
207  * Fref = 14.31818MHz => Fvco 113.24MHz => Dot Clock 1 = 28.31MHz
208  *
209  * Dot Clk2:
210  * ---------
211  * M  = (M Div + 2) = 127
212  * N  = (N Div + 2) = 9
213  * Post Divisor =     8
214  * Loop Divisor =     1
215  * Fref = 14.31818MHz => Fvco 202.045MHz => Dot Clock 2 = 25.25MHz
216  *
217  * Memory Clk:
218  * -----------
219  * M  = (M Div + 2) = 58
220  * N  = (N Div + 2) = 5
221  * Post Divisor =     2
222  * Fref = 14.31818MHz => Fvco 166MHz => Dot Clock 1 = 83MHz
223  *
224  */
225
226
227
228 /* DAC datas */
229 static unsigned char dac[][3] = {
230   {0x00, 0x00, 0x00}, /* Index  0 (00) */
231   {0x00, 0x00, 0x2A}, /* Index  1 (01) */
232   {0x00, 0x2A, 0x00}, /* Index  2 (02) */
233   {0x00, 0x2A, 0x2A}, /* Index  3 (03) */
234   {0x2A, 0x00, 0x00}, /* Index  4 (04) */
235   {0x2A, 0x00, 0x2A}, /* Index  5 (05) */
236   {0x2A, 0x2A, 0x00}, /* Index  6 (06) */
237   {0x2A, 0x2A, 0x2A}, /* Index  7 (07) */
238   {0x00, 0x00, 0x15}, /* Index  8 (08) */
239   {0x00, 0x00, 0x3F}, /* Index  9 (09) */
240   {0x00, 0x2A, 0x15}, /* Index 10 (0A) */
241   {0x00, 0x2A, 0x3F}, /* Index 11 (0B) */
242   {0x2A, 0x00, 0x15}, /* Index 12 (0C) */
243   {0x2A, 0x00, 0x3F}, /* Index 13 (0D) */
244   {0x2A, 0x2A, 0x15}, /* Index 14 (0E) */
245   {0x2A, 0x2A, 0x3F}, /* Index 15 (0F) */
246   {0x00, 0x15, 0x00}, /* Index 16 (10) */
247   {0x00, 0x15, 0x2A}, /* Index 17 (11) */
248   {0x00, 0x3F, 0x00}, /* Index 18 (12) */
249   {0x00, 0x3F, 0x2A}, /* Index 19 (13) */
250   {0x2A, 0x15, 0x00}, /* Index 20 (14) */
251   {0x2A, 0x15, 0x2A}, /* Index 21 (15) */
252   {0x2A, 0x3F, 0x00}, /* Index 22 (16) */
253   {0x2A, 0x3F, 0x2A}, /* Index 23 (17) */
254   {0x00, 0x15, 0x15}, /* Index 24 (18) */
255   {0x00, 0x15, 0x3F}, /* Index 25 (19) */
256   {0x00, 0x3F, 0x15}, /* Index 26 (1A) */
257   {0x00, 0x3F, 0x3F}, /* Index 27 (1B) */
258   {0x2A, 0x15, 0x15}, /* Index 28 (1C) */
259   {0x2A, 0x15, 0x3F}, /* Index 29 (1D) */
260   {0x2A, 0x3F, 0x15}, /* Index 30 (1E) */
261   {0x2A, 0x3F, 0x3F}, /* Index 31 (1F) */
262   {0x15, 0x00, 0x00}, /* Index 32 (20) */
263   {0x15, 0x00, 0x2A}, /* Index 33 (21) */
264   {0x15, 0x2A, 0x00}, /* Index 34 (22) */
265   {0x15, 0x2A, 0x2A}, /* Index 35 (23) */
266   {0x3F, 0x00, 0x00}, /* Index 36 (24) */
267   {0x3F, 0x00, 0x2A}, /* Index 37 (25) */
268   {0x3F, 0x2A, 0x00}, /* Index 38 (26) */
269   {0x3F, 0x2A, 0x2A}, /* Index 39 (27) */
270   {0x15, 0x00, 0x15}, /* Index 40 (28) */
271   {0x15, 0x00, 0x3F}, /* Index 41 (29) */
272   {0x15, 0x2A, 0x15}, /* Index 42 (2A) */
273   {0x15, 0x2A, 0x3F}, /* Index 43 (2B) */
274   {0x3F, 0x00, 0x15}, /* Index 44 (2C) */
275   {0x3F, 0x00, 0x3F}, /* Index 45 (2D) */
276   {0x3F, 0x2A, 0x15}, /* Index 46 (2E) */
277   {0x3F, 0x2A, 0x3F}, /* Index 47 (2F) */
278   {0x15, 0x15, 0x00}, /* Index 48 (30) */
279   {0x15, 0x15, 0x2A}, /* Index 49 (31) */
280   {0x15, 0x3F, 0x00}, /* Index 50 (32) */
281   {0x15, 0x3F, 0x2A}, /* Index 51 (33) */
282   {0x3F, 0x15, 0x00}, /* Index 52 (34) */
283   {0x3F, 0x15, 0x2A}, /* Index 53 (35) */
284   {0x3F, 0x3F, 0x00}, /* Index 54 (36) */
285   {0x3F, 0x3F, 0x2A}, /* Index 55 (37) */
286   {0x15, 0x15, 0x15}, /* Index 56 (38) */
287   {0x15, 0x15, 0x3F}, /* Index 57 (39) */
288   {0x15, 0x3F, 0x15}, /* Index 58 (3A) */
289   {0x15, 0x3F, 0x3F}, /* Index 59 (3B) */
290   {0x3F, 0x15, 0x15}, /* Index 60 (3C) */
291   {0x3F, 0x15, 0x3F}, /* Index 61 (3D) */
292   {0x3F, 0x3F, 0x15}, /* Index 62 (3E) */
293   {0x3F, 0x3F, 0x3F}, /* Index 63 (3F) */
294   {0x16, 0x1E, 0x17}, /* Index 64 (40) */
295   {0x27, 0x2F, 0x00}, /* Index 65 (41) */
296   {0x1E, 0x20, 0x18}, /* Index 66 (42) */
297   {0x30, 0x04, 0x06}, /* Index 67 (43) */
298   {0x08, 0x05, 0x12}, /* Index 68 (44) */
299   {0x30, 0x0A, 0x31}, /* Index 69 (45) */
300   {0x08, 0x16, 0x07}, /* Index 70 (46) */
301   {0x1B, 0x33, 0x21}, /* Index 71 (47) */
302   {0x02, 0x2C, 0x16}, /* Index 72 (48) */
303   {0x3D, 0x1C, 0x11}, /* Index 73 (49) */
304   {0x07, 0x22, 0x33}, /* Index 74 (4A) */
305   {0x1D, 0x2B, 0x0A}, /* Index 75 (4B) */
306   {0x1A, 0x15, 0x16}, /* Index 76 (4C) */
307   {0x15, 0x26, 0x24}, /* Index 77 (4D) */
308   {0x05, 0x2B, 0x1E}, /* Index 78 (4E) */
309   {0x11, 0x18, 0x01}, /* Index 79 (4F) */
310   {0x3A, 0x2C, 0x27}, /* Index 80 (50) */
311   {0x25, 0x23, 0x00}, /* Index 81 (51) */
312   {0x2A, 0x16, 0x2A}, /* Index 82 (52) */
313   {0x18, 0x19, 0x17}, /* Index 83 (53) */
314   {0x0B, 0x0F, 0x06}, /* Index 84 (54) */
315   {0x00, 0x34, 0x08}, /* Index 85 (55) */
316   {0x00, 0x19, 0x12}, /* Index 86 (56) */
317   {0x01, 0x06, 0x3B}, /* Index 87 (57) */
318   {0x29, 0x0E, 0x25}, /* Index 88 (58) */
319   {0x20, 0x10, 0x28}, /* Index 89 (59) */
320   {0x02, 0x37, 0x24}, /* Index 90 (5A) */
321   {0x08, 0x2A, 0x2E}, /* Index 91 (5B) */
322   {0x26, 0x2A, 0x22}, /* Index 92 (5C) */
323   {0x0C, 0x0C, 0x2A}, /* Index 93 (5D) */
324   {0x28, 0x07, 0x02}, /* Index 94 (5E) */
325   {0x34, 0x05, 0x2B}, /* Index 95 (5F) */
326   {0x0C, 0x31, 0x33}, /* Index 96 (60) */
327   {0x22, 0x08, 0x30}, /* Index 97 (61) */
328   {0x00, 0x25, 0x01}, /* Index 98 (62) */
329   {0x06, 0x15, 0x01}, /* Index 99 (63) */
330   {0x0D, 0x2A, 0x0A}, /* Index 100 (64) */
331   {0x08, 0x25, 0x16}, /* Index 101 (65) */
332   {0x2A, 0x0A, 0x01}, /* Index 102 (66) */
333   {0x08, 0x3B, 0x28}, /* Index 103 (67) */
334   {0x00, 0x09, 0x04}, /* Index 104 (68) */
335   {0x16, 0x0F, 0x05}, /* Index 105 (69) */
336   {0x02, 0x2F, 0x1D}, /* Index 106 (6A) */
337   {0x06, 0x3E, 0x02}, /* Index 107 (6B) */
338   {0x09, 0x30, 0x07}, /* Index 108 (6C) */
339   {0x34, 0x03, 0x06}, /* Index 109 (6D) */
340   {0x00, 0x15, 0x2A}, /* Index 110 (6E) */
341   {0x39, 0x23, 0x20}, /* Index 111 (6F) */
342   {0x2A, 0x32, 0x0C}, /* Index 112 (70) */
343   {0x09, 0x31, 0x26}, /* Index 113 (71) */
344   {0x27, 0x23, 0x28}, /* Index 114 (72) */
345   {0x20, 0x13, 0x0C}, /* Index 115 (73) */
346   {0x2C, 0x1E, 0x26}, /* Index 116 (74) */
347   {0x20, 0x2F, 0x05}, /* Index 117 (75) */
348   {0x22, 0x1B, 0x22}, /* Index 118 (76) */
349   {0x09, 0x1C, 0x06}, /* Index 119 (77) */
350   {0x16, 0x38, 0x0E}, /* Index 120 (78) */
351   {0x22, 0x16, 0x20}, /* Index 121 (79) */
352   {0x10, 0x17, 0x25}, /* Index 122 (7A) */
353   {0x04, 0x32, 0x22}, /* Index 123 (7B) */
354   {0x20, 0x00, 0x37}, /* Index 124 (7C) */
355   {0x21, 0x15, 0x1A}, /* Index 125 (7D) */
356   {0x01, 0x04, 0x20}, /* Index 126 (7E) */
357   {0x02, 0x0C, 0x1E}, /* Index 127 (7F) */
358   {0x15, 0x15, 0x29}, /* Index 128 (80) */
359   {0x24, 0x3B, 0x00}, /* Index 129 (81) */
360   {0x24, 0x28, 0x01}, /* Index 130 (82) */
361   {0x20, 0x19, 0x07}, /* Index 131 (83) */
362   {0x1A, 0x13, 0x02}, /* Index 132 (84) */
363   {0x04, 0x24, 0x1F}, /* Index 133 (85) */
364   {0x32, 0x30, 0x08}, /* Index 134 (86) */
365   {0x23, 0x12, 0x0B}, /* Index 135 (87) */
366   {0x21, 0x38, 0x00}, /* Index 136 (88) */
367   {0x04, 0x13, 0x09}, /* Index 137 (89) */
368   {0x06, 0x3E, 0x14}, /* Index 138 (8A) */
369   {0x23, 0x22, 0x2D}, /* Index 139 (8B) */
370   {0x3D, 0x35, 0x30}, /* Index 140 (8C) */
371   {0x24, 0x38, 0x05}, /* Index 141 (8D) */
372   {0x18, 0x01, 0x26}, /* Index 142 (8E) */
373   {0x27, 0x11, 0x38}, /* Index 143 (8F) */
374   {0x08, 0x1A, 0x08}, /* Index 144 (90) */
375   {0x00, 0x1A, 0x07}, /* Index 145 (91) */
376   {0x16, 0x0C, 0x30}, /* Index 146 (92) */
377   {0x1B, 0x0A, 0x0B}, /* Index 147 (93) */
378   {0x01, 0x0C, 0x09}, /* Index 148 (94) */
379   {0x00, 0x33, 0x03}, /* Index 149 (95) */
380   {0x13, 0x0A, 0x06}, /* Index 150 (96) */
381   {0x22, 0x19, 0x23}, /* Index 151 (97) */
382   {0x07, 0x30, 0x39}, /* Index 152 (98) */
383   {0x20, 0x07, 0x0D}, /* Index 153 (99) */
384   {0x39, 0x18, 0x20}, /* Index 154 (9A) */
385   {0x32, 0x31, 0x29}, /* Index 155 (9B) */
386   {0x28, 0x3F, 0x02}, /* Index 156 (9C) */
387   {0x02, 0x15, 0x3E}, /* Index 157 (9D) */
388   {0x27, 0x33, 0x0E}, /* Index 158 (9E) */
389   {0x39, 0x2E, 0x1D}, /* Index 159 (9F) */
390   {0x1E, 0x38, 0x10}, /* Index 160 (A0) */
391   {0x01, 0x33, 0x19}, /* Index 161 (A1) */
392   {0x2B, 0x20, 0x0B}, /* Index 162 (A2) */
393   {0x29, 0x0B, 0x23}, /* Index 163 (A3) */
394   {0x25, 0x04, 0x19}, /* Index 164 (A4) */
395   {0x34, 0x36, 0x08}, /* Index 165 (A5) */
396   {0x17, 0x1D, 0x01}, /* Index 166 (A6) */
397   {0x0A, 0x29, 0x10}, /* Index 167 (A7) */
398   {0x14, 0x1C, 0x2B}, /* Index 168 (A8) */
399   {0x02, 0x2C, 0x13}, /* Index 169 (A9) */
400   {0x14, 0x16, 0x3A}, /* Index 170 (AA) */
401   {0x2B, 0x22, 0x28}, /* Index 171 (AB) */
402   {0x2E, 0x0A, 0x22}, /* Index 172 (AC) */
403   {0x00, 0x20, 0x28}, /* Index 173 (AD) */
404   {0x08, 0x22, 0x2D}, /* Index 174 (AE) */
405   {0x2C, 0x17, 0x1D}, /* Index 175 (AF) */
406   {0x02, 0x0D, 0x20}, /* Index 176 (B0) */
407   {0x00, 0x18, 0x2C}, /* Index 177 (B1) */
408   {0x19, 0x19, 0x3C}, /* Index 178 (B2) */
409   {0x20, 0x32, 0x10}, /* Index 179 (B3) */
410   {0x38, 0x1C, 0x21}, /* Index 180 (B4) */
411   {0x36, 0x10, 0x00}, /* Index 181 (B5) */
412   {0x20, 0x08, 0x19}, /* Index 182 (B6) */
413   {0x09, 0x12, 0x01}, /* Index 183 (B7) */
414   {0x1D, 0x39, 0x03}, /* Index 184 (B8) */
415   {0x20, 0x28, 0x11}, /* Index 185 (B9) */
416   {0x35, 0x17, 0x01}, /* Index 186 (BA) */
417   {0x0C, 0x02, 0x08}, /* Index 187 (BB) */
418   {0x39, 0x0D, 0x10}, /* Index 188 (BC) */
419   {0x38, 0x21, 0x16}, /* Index 189 (BD) */
420   {0x29, 0x3E, 0x14}, /* Index 190 (BE) */
421   {0x0A, 0x1C, 0x28}, /* Index 191 (BF) */
422   {0x2F, 0x03, 0x08}, /* Index 192 (C0) */
423   {0x02, 0x18, 0x39}, /* Index 193 (C1) */
424   {0x0F, 0x31, 0x1E}, /* Index 194 (C2) */
425   {0x24, 0x0C, 0x03}, /* Index 195 (C3) */
426   {0x04, 0x1A, 0x04}, /* Index 196 (C4) */
427   {0x30, 0x33, 0x04}, /* Index 197 (C5) */
428   {0x2C, 0x18, 0x36}, /* Index 198 (C6) */
429   {0x18, 0x2F, 0x09}, /* Index 199 (C7) */
430   {0x03, 0x04, 0x03}, /* Index 200 (C8) */
431   {0x22, 0x05, 0x10}, /* Index 201 (C9) */
432   {0x03, 0x24, 0x0A}, /* Index 202 (CA) */
433   {0x00, 0x1E, 0x1A}, /* Index 203 (CB) */
434   {0x00, 0x0A, 0x11}, /* Index 204 (CC) */
435   {0x0E, 0x1F, 0x09}, /* Index 205 (CD) */
436   {0x1B, 0x3A, 0x3B}, /* Index 206 (CE) */
437   {0x22, 0x39, 0x36}, /* Index 207 (CF) */
438   {0x22, 0x10, 0x23}, /* Index 208 (D0) */
439   {0x31, 0x14, 0x2B}, /* Index 209 (D1) */
440   {0x0C, 0x33, 0x35}, /* Index 210 (D2) */
441   {0x27, 0x3E, 0x10}, /* Index 211 (D3) */
442   {0x10, 0x04, 0x30}, /* Index 212 (D4) */
443   {0x05, 0x21, 0x0A}, /* Index 213 (D5) */
444   {0x09, 0x11, 0x24}, /* Index 214 (D6) */
445   {0x02, 0x2B, 0x00}, /* Index 215 (D7) */
446   {0x02, 0x05, 0x15}, /* Index 216 (D8) */
447   {0x11, 0x32, 0x1A}, /* Index 217 (D9) */
448   {0x2E, 0x1B, 0x08}, /* Index 218 (DA) */
449   {0x0D, 0x2F, 0x11}, /* Index 219 (DB) */
450   {0x03, 0x30, 0x28}, /* Index 220 (DC) */
451   {0x15, 0x38, 0x0A}, /* Index 221 (DD) */
452   {0x07, 0x00, 0x00}, /* Index 222 (DE) */
453   {0x1D, 0x1C, 0x20}, /* Index 223 (DF) */
454   {0x35, 0x11, 0x35}, /* Index 224 (E0) */
455   {0x24, 0x29, 0x03}, /* Index 225 (E1) */
456   {0x22, 0x37, 0x39}, /* Index 226 (E2) */
457   {0x11, 0x1B, 0x10}, /* Index 227 (E3) */
458   {0x2D, 0x18, 0x00}, /* Index 228 (E4) */
459   {0x20, 0x2F, 0x10}, /* Index 229 (E5) */
460   {0x21, 0x26, 0x32}, /* Index 230 (E6) */
461   {0x24, 0x10, 0x3D}, /* Index 231 (E7) */
462   {0x27, 0x0E, 0x03}, /* Index 232 (E8) */
463   {0x31, 0x1F, 0x11}, /* Index 233 (E9) */
464   {0x16, 0x0D, 0x02}, /* Index 234 (EA) */
465   {0x24, 0x12, 0x16}, /* Index 235 (EB) */
466   {0x0F, 0x27, 0x18}, /* Index 236 (EC) */
467   {0x10, 0x0E, 0x20}, /* Index 237 (ED) */
468   {0x12, 0x0C, 0x0D}, /* Index 238 (EE) */
469   {0x14, 0x38, 0x0B}, /* Index 239 (EF) */
470   {0x23, 0x0C, 0x09}, /* Index 240 (F0) */
471   {0x13, 0x21, 0x0E}, /* Index 241 (F1) */
472   {0x2C, 0x05, 0x2C}, /* Index 242 (F2) */
473   {0x08, 0x29, 0x01}, /* Index 243 (F3) */
474   {0x36, 0x0A, 0x13}, /* Index 244 (F4) */
475   {0x08, 0x2D, 0x26}, /* Index 245 (F5) */
476   {0x23, 0x30, 0x3E}, /* Index 246 (F6) */
477   {0x33, 0x00, 0x04}, /* Index 247 (F7) */
478   {0x25, 0x1E, 0x3A}, /* Index 248 (F8) */
479   {0x11, 0x2C, 0x26}, /* Index 249 (F9) */
480   {0x20, 0x32, 0x27}, /* Index 250 (FA) */
481   {0x23, 0x21, 0x13}, /* Index 251 (FB) */
482   {0x22, 0x23, 0x1F}, /* Index 252 (FC) */
483   {0x31, 0x08, 0x0A}, /* Index 253 (FD) */
484   {0x00, 0x01, 0x09}, /* Index 254 (FE) */
485   {0x17, 0x07, 0x38} /* Index 255 (FF) */
486 };
487
488
489 /***********************************************
490  * 8x16 Font
491  *
492  * copied from file
493  * drivers/video/font_8x16.c (LINUX)
494  **********************************************/
495 /**********************************************/
496 /*                                            */
497 /*       Font file generated by cpi2fnt       */
498 /*                                            */
499 /**********************************************/
500
501 #define FONTDATAMAX 4096
502
503 unsigned char fontdata_8x16[FONTDATAMAX] = {
504
505         /* 0 0x00 '^@' */
506         0x00, /* 00000000 */
507         0x00, /* 00000000 */
508         0x00, /* 00000000 */
509         0x00, /* 00000000 */
510         0x00, /* 00000000 */
511         0x00, /* 00000000 */
512         0x00, /* 00000000 */
513         0x00, /* 00000000 */
514         0x00, /* 00000000 */
515         0x00, /* 00000000 */
516         0x00, /* 00000000 */
517         0x00, /* 00000000 */
518         0x00, /* 00000000 */
519         0x00, /* 00000000 */
520         0x00, /* 00000000 */
521         0x00, /* 00000000 */
522
523         /* 1 0x01 '^A' */
524         0x00, /* 00000000 */
525         0x00, /* 00000000 */
526         0x7e, /* 01111110 */
527         0x81, /* 10000001 */
528         0xa5, /* 10100101 */
529         0x81, /* 10000001 */
530         0x81, /* 10000001 */
531         0xbd, /* 10111101 */
532         0x99, /* 10011001 */
533         0x81, /* 10000001 */
534         0x81, /* 10000001 */
535         0x7e, /* 01111110 */
536         0x00, /* 00000000 */
537         0x00, /* 00000000 */
538         0x00, /* 00000000 */
539         0x00, /* 00000000 */
540
541         /* 2 0x02 '^B' */
542         0x00, /* 00000000 */
543         0x00, /* 00000000 */
544         0x7e, /* 01111110 */
545         0xff, /* 11111111 */
546         0xdb, /* 11011011 */
547         0xff, /* 11111111 */
548         0xff, /* 11111111 */
549         0xc3, /* 11000011 */
550         0xe7, /* 11100111 */
551         0xff, /* 11111111 */
552         0xff, /* 11111111 */
553         0x7e, /* 01111110 */
554         0x00, /* 00000000 */
555         0x00, /* 00000000 */
556         0x00, /* 00000000 */
557         0x00, /* 00000000 */
558
559         /* 3 0x03 '^C' */
560         0x00, /* 00000000 */
561         0x00, /* 00000000 */
562         0x00, /* 00000000 */
563         0x00, /* 00000000 */
564         0x6c, /* 01101100 */
565         0xfe, /* 11111110 */
566         0xfe, /* 11111110 */
567         0xfe, /* 11111110 */
568         0xfe, /* 11111110 */
569         0x7c, /* 01111100 */
570         0x38, /* 00111000 */
571         0x10, /* 00010000 */
572         0x00, /* 00000000 */
573         0x00, /* 00000000 */
574         0x00, /* 00000000 */
575         0x00, /* 00000000 */
576
577         /* 4 0x04 '^D' */
578         0x00, /* 00000000 */
579         0x00, /* 00000000 */
580         0x00, /* 00000000 */
581         0x00, /* 00000000 */
582         0x10, /* 00010000 */
583         0x38, /* 00111000 */
584         0x7c, /* 01111100 */
585         0xfe, /* 11111110 */
586         0x7c, /* 01111100 */
587         0x38, /* 00111000 */
588         0x10, /* 00010000 */
589         0x00, /* 00000000 */
590         0x00, /* 00000000 */
591         0x00, /* 00000000 */
592         0x00, /* 00000000 */
593         0x00, /* 00000000 */
594
595         /* 5 0x05 '^E' */
596         0x00, /* 00000000 */
597         0x00, /* 00000000 */
598         0x00, /* 00000000 */
599         0x18, /* 00011000 */
600         0x3c, /* 00111100 */
601         0x3c, /* 00111100 */
602         0xe7, /* 11100111 */
603         0xe7, /* 11100111 */
604         0xe7, /* 11100111 */
605         0x18, /* 00011000 */
606         0x18, /* 00011000 */
607         0x3c, /* 00111100 */
608         0x00, /* 00000000 */
609         0x00, /* 00000000 */
610         0x00, /* 00000000 */
611         0x00, /* 00000000 */
612
613         /* 6 0x06 '^F' */
614         0x00, /* 00000000 */
615         0x00, /* 00000000 */
616         0x00, /* 00000000 */
617         0x18, /* 00011000 */
618         0x3c, /* 00111100 */
619         0x7e, /* 01111110 */
620         0xff, /* 11111111 */
621         0xff, /* 11111111 */
622         0x7e, /* 01111110 */
623         0x18, /* 00011000 */
624         0x18, /* 00011000 */
625         0x3c, /* 00111100 */
626         0x00, /* 00000000 */
627         0x00, /* 00000000 */
628         0x00, /* 00000000 */
629         0x00, /* 00000000 */
630
631         /* 7 0x07 '^G' */
632         0x00, /* 00000000 */
633         0x00, /* 00000000 */
634         0x00, /* 00000000 */
635         0x00, /* 00000000 */
636         0x00, /* 00000000 */
637         0x00, /* 00000000 */
638         0x18, /* 00011000 */
639         0x3c, /* 00111100 */
640         0x3c, /* 00111100 */
641         0x18, /* 00011000 */
642         0x00, /* 00000000 */
643         0x00, /* 00000000 */
644         0x00, /* 00000000 */
645         0x00, /* 00000000 */
646         0x00, /* 00000000 */
647         0x00, /* 00000000 */
648
649         /* 8 0x08 '^H' */
650         0xff, /* 11111111 */
651         0xff, /* 11111111 */
652         0xff, /* 11111111 */
653         0xff, /* 11111111 */
654         0xff, /* 11111111 */
655         0xff, /* 11111111 */
656         0xe7, /* 11100111 */
657         0xc3, /* 11000011 */
658         0xc3, /* 11000011 */
659         0xe7, /* 11100111 */
660         0xff, /* 11111111 */
661         0xff, /* 11111111 */
662         0xff, /* 11111111 */
663         0xff, /* 11111111 */
664         0xff, /* 11111111 */
665         0xff, /* 11111111 */
666
667         /* 9 0x09 '^I' */
668         0x00, /* 00000000 */
669         0x00, /* 00000000 */
670         0x00, /* 00000000 */
671         0x00, /* 00000000 */
672         0x00, /* 00000000 */
673         0x3c, /* 00111100 */
674         0x66, /* 01100110 */
675         0x42, /* 01000010 */
676         0x42, /* 01000010 */
677         0x66, /* 01100110 */
678         0x3c, /* 00111100 */
679         0x00, /* 00000000 */
680         0x00, /* 00000000 */
681         0x00, /* 00000000 */
682         0x00, /* 00000000 */
683         0x00, /* 00000000 */
684
685         /* 10 0x0a '^J' */
686         0xff, /* 11111111 */
687         0xff, /* 11111111 */
688         0xff, /* 11111111 */
689         0xff, /* 11111111 */
690         0xff, /* 11111111 */
691         0xc3, /* 11000011 */
692         0x99, /* 10011001 */
693         0xbd, /* 10111101 */
694         0xbd, /* 10111101 */
695         0x99, /* 10011001 */
696         0xc3, /* 11000011 */
697         0xff, /* 11111111 */
698         0xff, /* 11111111 */
699         0xff, /* 11111111 */
700         0xff, /* 11111111 */
701         0xff, /* 11111111 */
702
703         /* 11 0x0b '^K' */
704         0x00, /* 00000000 */
705         0x00, /* 00000000 */
706         0x1e, /* 00011110 */
707         0x0e, /* 00001110 */
708         0x1a, /* 00011010 */
709         0x32, /* 00110010 */
710         0x78, /* 01111000 */
711         0xcc, /* 11001100 */
712         0xcc, /* 11001100 */
713         0xcc, /* 11001100 */
714         0xcc, /* 11001100 */
715         0x78, /* 01111000 */
716         0x00, /* 00000000 */
717         0x00, /* 00000000 */
718         0x00, /* 00000000 */
719         0x00, /* 00000000 */
720
721         /* 12 0x0c '^L' */
722         0x00, /* 00000000 */
723         0x00, /* 00000000 */
724         0x3c, /* 00111100 */
725         0x66, /* 01100110 */
726         0x66, /* 01100110 */
727         0x66, /* 01100110 */
728         0x66, /* 01100110 */
729         0x3c, /* 00111100 */
730         0x18, /* 00011000 */
731         0x7e, /* 01111110 */
732         0x18, /* 00011000 */
733         0x18, /* 00011000 */
734         0x00, /* 00000000 */
735         0x00, /* 00000000 */
736         0x00, /* 00000000 */
737         0x00, /* 00000000 */
738
739         /* 13 0x0d '^M' */
740         0x00, /* 00000000 */
741         0x00, /* 00000000 */
742         0x3f, /* 00111111 */
743         0x33, /* 00110011 */
744         0x3f, /* 00111111 */
745         0x30, /* 00110000 */
746         0x30, /* 00110000 */
747         0x30, /* 00110000 */
748         0x30, /* 00110000 */
749         0x70, /* 01110000 */
750         0xf0, /* 11110000 */
751         0xe0, /* 11100000 */
752         0x00, /* 00000000 */
753         0x00, /* 00000000 */
754         0x00, /* 00000000 */
755         0x00, /* 00000000 */
756
757         /* 14 0x0e '^N' */
758         0x00, /* 00000000 */
759         0x00, /* 00000000 */
760         0x7f, /* 01111111 */
761         0x63, /* 01100011 */
762         0x7f, /* 01111111 */
763         0x63, /* 01100011 */
764         0x63, /* 01100011 */
765         0x63, /* 01100011 */
766         0x63, /* 01100011 */
767         0x67, /* 01100111 */
768         0xe7, /* 11100111 */
769         0xe6, /* 11100110 */
770         0xc0, /* 11000000 */
771         0x00, /* 00000000 */
772         0x00, /* 00000000 */
773         0x00, /* 00000000 */
774
775         /* 15 0x0f '^O' */
776         0x00, /* 00000000 */
777         0x00, /* 00000000 */
778         0x00, /* 00000000 */
779         0x18, /* 00011000 */
780         0x18, /* 00011000 */
781         0xdb, /* 11011011 */
782         0x3c, /* 00111100 */
783         0xe7, /* 11100111 */
784         0x3c, /* 00111100 */
785         0xdb, /* 11011011 */
786         0x18, /* 00011000 */
787         0x18, /* 00011000 */
788         0x00, /* 00000000 */
789         0x00, /* 00000000 */
790         0x00, /* 00000000 */
791         0x00, /* 00000000 */
792
793         /* 16 0x10 '^P' */
794         0x00, /* 00000000 */
795         0x80, /* 10000000 */
796         0xc0, /* 11000000 */
797         0xe0, /* 11100000 */
798         0xf0, /* 11110000 */
799         0xf8, /* 11111000 */
800         0xfe, /* 11111110 */
801         0xf8, /* 11111000 */
802         0xf0, /* 11110000 */
803         0xe0, /* 11100000 */
804         0xc0, /* 11000000 */
805         0x80, /* 10000000 */
806         0x00, /* 00000000 */
807         0x00, /* 00000000 */
808         0x00, /* 00000000 */
809         0x00, /* 00000000 */
810
811         /* 17 0x11 '^Q' */
812         0x00, /* 00000000 */
813         0x02, /* 00000010 */
814         0x06, /* 00000110 */
815         0x0e, /* 00001110 */
816         0x1e, /* 00011110 */
817         0x3e, /* 00111110 */
818         0xfe, /* 11111110 */
819         0x3e, /* 00111110 */
820         0x1e, /* 00011110 */
821         0x0e, /* 00001110 */
822         0x06, /* 00000110 */
823         0x02, /* 00000010 */
824         0x00, /* 00000000 */
825         0x00, /* 00000000 */
826         0x00, /* 00000000 */
827         0x00, /* 00000000 */
828
829         /* 18 0x12 '^R' */
830         0x00, /* 00000000 */
831         0x00, /* 00000000 */
832         0x18, /* 00011000 */
833         0x3c, /* 00111100 */
834         0x7e, /* 01111110 */
835         0x18, /* 00011000 */
836         0x18, /* 00011000 */
837         0x18, /* 00011000 */
838         0x7e, /* 01111110 */
839         0x3c, /* 00111100 */
840         0x18, /* 00011000 */
841         0x00, /* 00000000 */
842         0x00, /* 00000000 */
843         0x00, /* 00000000 */
844         0x00, /* 00000000 */
845         0x00, /* 00000000 */
846
847         /* 19 0x13 '^S' */
848         0x00, /* 00000000 */
849         0x00, /* 00000000 */
850         0x66, /* 01100110 */
851         0x66, /* 01100110 */
852         0x66, /* 01100110 */
853         0x66, /* 01100110 */
854         0x66, /* 01100110 */
855         0x66, /* 01100110 */
856         0x66, /* 01100110 */
857         0x00, /* 00000000 */
858         0x66, /* 01100110 */
859         0x66, /* 01100110 */
860         0x00, /* 00000000 */
861         0x00, /* 00000000 */
862         0x00, /* 00000000 */
863         0x00, /* 00000000 */
864
865         /* 20 0x14 '^T' */
866         0x00, /* 00000000 */
867         0x00, /* 00000000 */
868         0x7f, /* 01111111 */
869         0xdb, /* 11011011 */
870         0xdb, /* 11011011 */
871         0xdb, /* 11011011 */
872         0x7b, /* 01111011 */
873         0x1b, /* 00011011 */
874         0x1b, /* 00011011 */
875         0x1b, /* 00011011 */
876         0x1b, /* 00011011 */
877         0x1b, /* 00011011 */
878         0x00, /* 00000000 */
879         0x00, /* 00000000 */
880         0x00, /* 00000000 */
881         0x00, /* 00000000 */
882
883         /* 21 0x15 '^U' */
884         0x00, /* 00000000 */
885         0x7c, /* 01111100 */
886         0xc6, /* 11000110 */
887         0x60, /* 01100000 */
888         0x38, /* 00111000 */
889         0x6c, /* 01101100 */
890         0xc6, /* 11000110 */
891         0xc6, /* 11000110 */
892         0x6c, /* 01101100 */
893         0x38, /* 00111000 */
894         0x0c, /* 00001100 */
895         0xc6, /* 11000110 */
896         0x7c, /* 01111100 */
897         0x00, /* 00000000 */
898         0x00, /* 00000000 */
899         0x00, /* 00000000 */
900
901         /* 22 0x16 '^V' */
902         0x00, /* 00000000 */
903         0x00, /* 00000000 */
904         0x00, /* 00000000 */
905         0x00, /* 00000000 */
906         0x00, /* 00000000 */
907         0x00, /* 00000000 */
908         0x00, /* 00000000 */
909         0x00, /* 00000000 */
910         0xfe, /* 11111110 */
911         0xfe, /* 11111110 */
912         0xfe, /* 11111110 */
913         0xfe, /* 11111110 */
914         0x00, /* 00000000 */
915         0x00, /* 00000000 */
916         0x00, /* 00000000 */
917         0x00, /* 00000000 */
918
919         /* 23 0x17 '^W' */
920         0x00, /* 00000000 */
921         0x00, /* 00000000 */
922         0x18, /* 00011000 */
923         0x3c, /* 00111100 */
924         0x7e, /* 01111110 */
925         0x18, /* 00011000 */
926         0x18, /* 00011000 */
927         0x18, /* 00011000 */
928         0x7e, /* 01111110 */
929         0x3c, /* 00111100 */
930         0x18, /* 00011000 */
931         0x7e, /* 01111110 */
932         0x00, /* 00000000 */
933         0x00, /* 00000000 */
934         0x00, /* 00000000 */
935         0x00, /* 00000000 */
936
937         /* 24 0x18 '^X' */
938         0x00, /* 00000000 */
939         0x00, /* 00000000 */
940         0x18, /* 00011000 */
941         0x3c, /* 00111100 */
942         0x7e, /* 01111110 */
943         0x18, /* 00011000 */
944         0x18, /* 00011000 */
945         0x18, /* 00011000 */
946         0x18, /* 00011000 */
947         0x18, /* 00011000 */
948         0x18, /* 00011000 */
949         0x18, /* 00011000 */
950         0x00, /* 00000000 */
951         0x00, /* 00000000 */
952         0x00, /* 00000000 */
953         0x00, /* 00000000 */
954
955         /* 25 0x19 '^Y' */
956         0x00, /* 00000000 */
957         0x00, /* 00000000 */
958         0x18, /* 00011000 */
959         0x18, /* 00011000 */
960         0x18, /* 00011000 */
961         0x18, /* 00011000 */
962         0x18, /* 00011000 */
963         0x18, /* 00011000 */
964         0x18, /* 00011000 */
965         0x7e, /* 01111110 */
966         0x3c, /* 00111100 */
967         0x18, /* 00011000 */
968         0x00, /* 00000000 */
969         0x00, /* 00000000 */
970         0x00, /* 00000000 */
971         0x00, /* 00000000 */
972
973         /* 26 0x1a '^Z' */
974         0x00, /* 00000000 */
975         0x00, /* 00000000 */
976         0x00, /* 00000000 */
977         0x00, /* 00000000 */
978         0x00, /* 00000000 */
979         0x18, /* 00011000 */
980         0x0c, /* 00001100 */
981         0xfe, /* 11111110 */
982         0x0c, /* 00001100 */
983         0x18, /* 00011000 */
984         0x00, /* 00000000 */
985         0x00, /* 00000000 */
986         0x00, /* 00000000 */
987         0x00, /* 00000000 */
988         0x00, /* 00000000 */
989         0x00, /* 00000000 */
990
991         /* 27 0x1b '^[' */
992         0x00, /* 00000000 */
993         0x00, /* 00000000 */
994         0x00, /* 00000000 */
995         0x00, /* 00000000 */
996         0x00, /* 00000000 */
997         0x30, /* 00110000 */
998         0x60, /* 01100000 */
999         0xfe, /* 11111110 */
1000         0x60, /* 01100000 */
1001         0x30, /* 00110000 */
1002         0x00, /* 00000000 */
1003         0x00, /* 00000000 */
1004         0x00, /* 00000000 */
1005         0x00, /* 00000000 */
1006         0x00, /* 00000000 */
1007         0x00, /* 00000000 */
1008
1009         /* 28 0x1c '^\' */
1010         0x00, /* 00000000 */
1011         0x00, /* 00000000 */
1012         0x00, /* 00000000 */
1013         0x00, /* 00000000 */
1014         0x00, /* 00000000 */
1015         0x00, /* 00000000 */
1016         0xc0, /* 11000000 */
1017         0xc0, /* 11000000 */
1018         0xc0, /* 11000000 */
1019         0xfe, /* 11111110 */
1020         0x00, /* 00000000 */
1021         0x00, /* 00000000 */
1022         0x00, /* 00000000 */
1023         0x00, /* 00000000 */
1024         0x00, /* 00000000 */
1025         0x00, /* 00000000 */
1026
1027         /* 29 0x1d '^]' */
1028         0x00, /* 00000000 */
1029         0x00, /* 00000000 */
1030         0x00, /* 00000000 */
1031         0x00, /* 00000000 */
1032         0x00, /* 00000000 */
1033         0x28, /* 00101000 */
1034         0x6c, /* 01101100 */
1035         0xfe, /* 11111110 */
1036         0x6c, /* 01101100 */
1037         0x28, /* 00101000 */
1038         0x00, /* 00000000 */
1039         0x00, /* 00000000 */
1040         0x00, /* 00000000 */
1041         0x00, /* 00000000 */
1042         0x00, /* 00000000 */
1043         0x00, /* 00000000 */
1044
1045         /* 30 0x1e '^^' */
1046         0x00, /* 00000000 */
1047         0x00, /* 00000000 */
1048         0x00, /* 00000000 */
1049         0x00, /* 00000000 */
1050         0x10, /* 00010000 */
1051         0x38, /* 00111000 */
1052         0x38, /* 00111000 */
1053         0x7c, /* 01111100 */
1054         0x7c, /* 01111100 */
1055         0xfe, /* 11111110 */
1056         0xfe, /* 11111110 */
1057         0x00, /* 00000000 */
1058         0x00, /* 00000000 */
1059         0x00, /* 00000000 */
1060         0x00, /* 00000000 */
1061         0x00, /* 00000000 */
1062
1063         /* 31 0x1f '^_' */
1064         0x00, /* 00000000 */
1065         0x00, /* 00000000 */
1066         0x00, /* 00000000 */
1067         0x00, /* 00000000 */
1068         0xfe, /* 11111110 */
1069         0xfe, /* 11111110 */
1070         0x7c, /* 01111100 */
1071         0x7c, /* 01111100 */
1072         0x38, /* 00111000 */
1073         0x38, /* 00111000 */
1074         0x10, /* 00010000 */
1075         0x00, /* 00000000 */
1076         0x00, /* 00000000 */
1077         0x00, /* 00000000 */
1078         0x00, /* 00000000 */
1079         0x00, /* 00000000 */
1080
1081         /* 32 0x20 ' ' */
1082         0x00, /* 00000000 */
1083         0x00, /* 00000000 */
1084         0x00, /* 00000000 */
1085         0x00, /* 00000000 */
1086         0x00, /* 00000000 */
1087         0x00, /* 00000000 */
1088         0x00, /* 00000000 */
1089         0x00, /* 00000000 */
1090         0x00, /* 00000000 */
1091         0x00, /* 00000000 */
1092         0x00, /* 00000000 */
1093         0x00, /* 00000000 */
1094         0x00, /* 00000000 */
1095         0x00, /* 00000000 */
1096         0x00, /* 00000000 */
1097         0x00, /* 00000000 */
1098
1099         /* 33 0x21 '!' */
1100         0x00, /* 00000000 */
1101         0x00, /* 00000000 */
1102         0x18, /* 00011000 */
1103         0x3c, /* 00111100 */
1104         0x3c, /* 00111100 */
1105         0x3c, /* 00111100 */
1106         0x18, /* 00011000 */
1107         0x18, /* 00011000 */
1108         0x18, /* 00011000 */
1109         0x00, /* 00000000 */
1110         0x18, /* 00011000 */
1111         0x18, /* 00011000 */
1112         0x00, /* 00000000 */
1113         0x00, /* 00000000 */
1114         0x00, /* 00000000 */
1115         0x00, /* 00000000 */
1116
1117         /* 34 0x22 '"' */
1118         0x00, /* 00000000 */
1119         0x66, /* 01100110 */
1120         0x66, /* 01100110 */
1121         0x66, /* 01100110 */
1122         0x24, /* 00100100 */
1123         0x00, /* 00000000 */
1124         0x00, /* 00000000 */
1125         0x00, /* 00000000 */
1126         0x00, /* 00000000 */
1127         0x00, /* 00000000 */
1128         0x00, /* 00000000 */
1129         0x00, /* 00000000 */
1130         0x00, /* 00000000 */
1131         0x00, /* 00000000 */
1132         0x00, /* 00000000 */
1133         0x00, /* 00000000 */
1134
1135         /* 35 0x23 '#' */
1136         0x00, /* 00000000 */
1137         0x00, /* 00000000 */
1138         0x00, /* 00000000 */
1139         0x6c, /* 01101100 */
1140         0x6c, /* 01101100 */
1141         0xfe, /* 11111110 */
1142         0x6c, /* 01101100 */
1143         0x6c, /* 01101100 */
1144         0x6c, /* 01101100 */
1145         0xfe, /* 11111110 */
1146         0x6c, /* 01101100 */
1147         0x6c, /* 01101100 */
1148         0x00, /* 00000000 */
1149         0x00, /* 00000000 */
1150         0x00, /* 00000000 */
1151         0x00, /* 00000000 */
1152
1153         /* 36 0x24 '$' */
1154         0x18, /* 00011000 */
1155         0x18, /* 00011000 */
1156         0x7c, /* 01111100 */
1157         0xc6, /* 11000110 */
1158         0xc2, /* 11000010 */
1159         0xc0, /* 11000000 */
1160         0x7c, /* 01111100 */
1161         0x06, /* 00000110 */
1162         0x06, /* 00000110 */
1163         0x86, /* 10000110 */
1164         0xc6, /* 11000110 */
1165         0x7c, /* 01111100 */
1166         0x18, /* 00011000 */
1167         0x18, /* 00011000 */
1168         0x00, /* 00000000 */
1169         0x00, /* 00000000 */
1170
1171         /* 37 0x25 '%' */
1172         0x00, /* 00000000 */
1173         0x00, /* 00000000 */
1174         0x00, /* 00000000 */
1175         0x00, /* 00000000 */
1176         0xc2, /* 11000010 */
1177         0xc6, /* 11000110 */
1178         0x0c, /* 00001100 */
1179         0x18, /* 00011000 */
1180         0x30, /* 00110000 */
1181         0x60, /* 01100000 */
1182         0xc6, /* 11000110 */
1183         0x86, /* 10000110 */
1184         0x00, /* 00000000 */
1185         0x00, /* 00000000 */
1186         0x00, /* 00000000 */
1187         0x00, /* 00000000 */
1188
1189         /* 38 0x26 '&' */
1190         0x00, /* 00000000 */
1191         0x00, /* 00000000 */
1192         0x38, /* 00111000 */
1193         0x6c, /* 01101100 */
1194         0x6c, /* 01101100 */
1195         0x38, /* 00111000 */
1196         0x76, /* 01110110 */
1197         0xdc, /* 11011100 */
1198         0xcc, /* 11001100 */
1199         0xcc, /* 11001100 */
1200         0xcc, /* 11001100 */
1201         0x76, /* 01110110 */
1202         0x00, /* 00000000 */
1203         0x00, /* 00000000 */
1204         0x00, /* 00000000 */
1205         0x00, /* 00000000 */
1206
1207         /* 39 0x27 ''' */
1208         0x00, /* 00000000 */
1209         0x30, /* 00110000 */
1210         0x30, /* 00110000 */
1211         0x30, /* 00110000 */
1212         0x60, /* 01100000 */
1213         0x00, /* 00000000 */
1214         0x00, /* 00000000 */
1215         0x00, /* 00000000 */
1216         0x00, /* 00000000 */
1217         0x00, /* 00000000 */
1218         0x00, /* 00000000 */
1219         0x00, /* 00000000 */
1220         0x00, /* 00000000 */
1221         0x00, /* 00000000 */
1222         0x00, /* 00000000 */
1223         0x00, /* 00000000 */
1224
1225         /* 40 0x28 '(' */
1226         0x00, /* 00000000 */
1227         0x00, /* 00000000 */
1228         0x0c, /* 00001100 */
1229         0x18, /* 00011000 */
1230         0x30, /* 00110000 */
1231         0x30, /* 00110000 */
1232         0x30, /* 00110000 */
1233         0x30, /* 00110000 */
1234         0x30, /* 00110000 */
1235         0x30, /* 00110000 */
1236         0x18, /* 00011000 */
1237         0x0c, /* 00001100 */
1238         0x00, /* 00000000 */
1239         0x00, /* 00000000 */
1240         0x00, /* 00000000 */
1241         0x00, /* 00000000 */
1242
1243         /* 41 0x29 ')' */
1244         0x00, /* 00000000 */
1245         0x00, /* 00000000 */
1246         0x30, /* 00110000 */
1247         0x18, /* 00011000 */
1248         0x0c, /* 00001100 */
1249         0x0c, /* 00001100 */
1250         0x0c, /* 00001100 */
1251         0x0c, /* 00001100 */
1252         0x0c, /* 00001100 */
1253         0x0c, /* 00001100 */
1254         0x18, /* 00011000 */
1255         0x30, /* 00110000 */
1256         0x00, /* 00000000 */
1257         0x00, /* 00000000 */
1258         0x00, /* 00000000 */
1259         0x00, /* 00000000 */
1260
1261         /* 42 0x2a '*' */
1262         0x00, /* 00000000 */
1263         0x00, /* 00000000 */
1264         0x00, /* 00000000 */
1265         0x00, /* 00000000 */
1266         0x00, /* 00000000 */
1267         0x66, /* 01100110 */
1268         0x3c, /* 00111100 */
1269         0xff, /* 11111111 */
1270         0x3c, /* 00111100 */
1271         0x66, /* 01100110 */
1272         0x00, /* 00000000 */
1273         0x00, /* 00000000 */
1274         0x00, /* 00000000 */
1275         0x00, /* 00000000 */
1276         0x00, /* 00000000 */
1277         0x00, /* 00000000 */
1278
1279         /* 43 0x2b '+' */
1280         0x00, /* 00000000 */
1281         0x00, /* 00000000 */
1282         0x00, /* 00000000 */
1283         0x00, /* 00000000 */
1284         0x00, /* 00000000 */
1285         0x18, /* 00011000 */
1286         0x18, /* 00011000 */
1287         0x7e, /* 01111110 */
1288         0x18, /* 00011000 */
1289         0x18, /* 00011000 */
1290         0x00, /* 00000000 */
1291         0x00, /* 00000000 */
1292         0x00, /* 00000000 */
1293         0x00, /* 00000000 */
1294         0x00, /* 00000000 */
1295         0x00, /* 00000000 */
1296
1297         /* 44 0x2c ',' */
1298         0x00, /* 00000000 */
1299         0x00, /* 00000000 */
1300         0x00, /* 00000000 */
1301         0x00, /* 00000000 */
1302         0x00, /* 00000000 */
1303         0x00, /* 00000000 */
1304         0x00, /* 00000000 */
1305         0x00, /* 00000000 */
1306         0x00, /* 00000000 */
1307         0x18, /* 00011000 */
1308         0x18, /* 00011000 */
1309         0x18, /* 00011000 */
1310         0x30, /* 00110000 */
1311         0x00, /* 00000000 */
1312         0x00, /* 00000000 */
1313         0x00, /* 00000000 */
1314
1315         /* 45 0x2d '-' */
1316         0x00, /* 00000000 */
1317         0x00, /* 00000000 */
1318         0x00, /* 00000000 */
1319         0x00, /* 00000000 */
1320         0x00, /* 00000000 */
1321         0x00, /* 00000000 */
1322         0x00, /* 00000000 */
1323         0xfe, /* 11111110 */
1324         0x00, /* 00000000 */
1325         0x00, /* 00000000 */
1326         0x00, /* 00000000 */
1327         0x00, /* 00000000 */
1328         0x00, /* 00000000 */
1329         0x00, /* 00000000 */
1330         0x00, /* 00000000 */
1331         0x00, /* 00000000 */
1332
1333         /* 46 0x2e '.' */
1334         0x00, /* 00000000 */
1335         0x00, /* 00000000 */
1336         0x00, /* 00000000 */
1337         0x00, /* 00000000 */
1338         0x00, /* 00000000 */
1339         0x00, /* 00000000 */
1340         0x00, /* 00000000 */
1341         0x00, /* 00000000 */
1342         0x00, /* 00000000 */
1343         0x00, /* 00000000 */
1344         0x18, /* 00011000 */
1345         0x18, /* 00011000 */
1346         0x00, /* 00000000 */
1347         0x00, /* 00000000 */
1348         0x00, /* 00000000 */
1349         0x00, /* 00000000 */
1350
1351         /* 47 0x2f '/' */
1352         0x00, /* 00000000 */
1353         0x00, /* 00000000 */
1354         0x00, /* 00000000 */
1355         0x00, /* 00000000 */
1356         0x02, /* 00000010 */
1357         0x06, /* 00000110 */
1358         0x0c, /* 00001100 */
1359         0x18, /* 00011000 */
1360         0x30, /* 00110000 */
1361         0x60, /* 01100000 */
1362         0xc0, /* 11000000 */
1363         0x80, /* 10000000 */
1364         0x00, /* 00000000 */
1365         0x00, /* 00000000 */
1366         0x00, /* 00000000 */
1367         0x00, /* 00000000 */
1368
1369         /* 48 0x30 '0' */
1370         0x00, /* 00000000 */
1371         0x00, /* 00000000 */
1372         0x38, /* 00111000 */
1373         0x6c, /* 01101100 */
1374         0xc6, /* 11000110 */
1375         0xc6, /* 11000110 */
1376         0xd6, /* 11010110 */
1377         0xd6, /* 11010110 */
1378         0xc6, /* 11000110 */
1379         0xc6, /* 11000110 */
1380         0x6c, /* 01101100 */
1381         0x38, /* 00111000 */
1382         0x00, /* 00000000 */
1383         0x00, /* 00000000 */
1384         0x00, /* 00000000 */
1385         0x00, /* 00000000 */
1386
1387         /* 49 0x31 '1' */
1388         0x00, /* 00000000 */
1389         0x00, /* 00000000 */
1390         0x18, /* 00011000 */
1391         0x38, /* 00111000 */
1392         0x78, /* 01111000 */
1393         0x18, /* 00011000 */
1394         0x18, /* 00011000 */
1395         0x18, /* 00011000 */
1396         0x18, /* 00011000 */
1397         0x18, /* 00011000 */
1398         0x18, /* 00011000 */
1399         0x7e, /* 01111110 */
1400         0x00, /* 00000000 */
1401         0x00, /* 00000000 */
1402         0x00, /* 00000000 */
1403         0x00, /* 00000000 */
1404
1405         /* 50 0x32 '2' */
1406         0x00, /* 00000000 */
1407         0x00, /* 00000000 */
1408         0x7c, /* 01111100 */
1409         0xc6, /* 11000110 */
1410         0x06, /* 00000110 */
1411         0x0c, /* 00001100 */
1412         0x18, /* 00011000 */
1413         0x30, /* 00110000 */
1414         0x60, /* 01100000 */
1415         0xc0, /* 11000000 */
1416         0xc6, /* 11000110 */
1417         0xfe, /* 11111110 */
1418         0x00, /* 00000000 */
1419         0x00, /* 00000000 */
1420         0x00, /* 00000000 */
1421         0x00, /* 00000000 */
1422
1423         /* 51 0x33 '3' */
1424         0x00, /* 00000000 */
1425         0x00, /* 00000000 */
1426         0x7c, /* 01111100 */
1427         0xc6, /* 11000110 */
1428         0x06, /* 00000110 */
1429         0x06, /* 00000110 */
1430         0x3c, /* 00111100 */
1431         0x06, /* 00000110 */
1432         0x06, /* 00000110 */
1433         0x06, /* 00000110 */
1434         0xc6, /* 11000110 */
1435         0x7c, /* 01111100 */
1436         0x00, /* 00000000 */
1437         0x00, /* 00000000 */
1438         0x00, /* 00000000 */
1439         0x00, /* 00000000 */
1440
1441         /* 52 0x34 '4' */
1442         0x00, /* 00000000 */
1443         0x00, /* 00000000 */
1444         0x0c, /* 00001100 */
1445         0x1c, /* 00011100 */
1446         0x3c, /* 00111100 */
1447         0x6c, /* 01101100 */
1448         0xcc, /* 11001100 */
1449         0xfe, /* 11111110 */
1450         0x0c, /* 00001100 */
1451         0x0c, /* 00001100 */
1452         0x0c, /* 00001100 */
1453         0x1e, /* 00011110 */
1454         0x00, /* 00000000 */
1455         0x00, /* 00000000 */
1456         0x00, /* 00000000 */
1457         0x00, /* 00000000 */
1458
1459         /* 53 0x35 '5' */
1460         0x00, /* 00000000 */
1461         0x00, /* 00000000 */
1462         0xfe, /* 11111110 */
1463         0xc0, /* 11000000 */
1464         0xc0, /* 11000000 */
1465         0xc0, /* 11000000 */
1466         0xfc, /* 11111100 */
1467         0x06, /* 00000110 */
1468         0x06, /* 00000110 */
1469         0x06, /* 00000110 */
1470         0xc6, /* 11000110 */
1471         0x7c, /* 01111100 */
1472         0x00, /* 00000000 */
1473         0x00, /* 00000000 */
1474         0x00, /* 00000000 */
1475         0x00, /* 00000000 */
1476
1477         /* 54 0x36 '6' */
1478         0x00, /* 00000000 */
1479         0x00, /* 00000000 */
1480         0x38, /* 00111000 */
1481         0x60, /* 01100000 */
1482         0xc0, /* 11000000 */
1483         0xc0, /* 11000000 */
1484         0xfc, /* 11111100 */
1485         0xc6, /* 11000110 */
1486         0xc6, /* 11000110 */
1487         0xc6, /* 11000110 */
1488         0xc6, /* 11000110 */
1489         0x7c, /* 01111100 */
1490         0x00, /* 00000000 */
1491         0x00, /* 00000000 */
1492         0x00, /* 00000000 */
1493         0x00, /* 00000000 */
1494
1495         /* 55 0x37 '7' */
1496         0x00, /* 00000000 */
1497         0x00, /* 00000000 */
1498         0xfe, /* 11111110 */
1499         0xc6, /* 11000110 */
1500         0x06, /* 00000110 */
1501         0x06, /* 00000110 */
1502         0x0c, /* 00001100 */
1503         0x18, /* 00011000 */
1504         0x30, /* 00110000 */
1505         0x30, /* 00110000 */
1506         0x30, /* 00110000 */
1507         0x30, /* 00110000 */
1508         0x00, /* 00000000 */
1509         0x00, /* 00000000 */
1510         0x00, /* 00000000 */
1511         0x00, /* 00000000 */
1512
1513         /* 56 0x38 '8' */
1514         0x00, /* 00000000 */
1515         0x00, /* 00000000 */
1516         0x7c, /* 01111100 */
1517         0xc6, /* 11000110 */
1518         0xc6, /* 11000110 */
1519         0xc6, /* 11000110 */
1520         0x7c, /* 01111100 */
1521         0xc6, /* 11000110 */
1522         0xc6, /* 11000110 */
1523         0xc6, /* 11000110 */
1524         0xc6, /* 11000110 */
1525         0x7c, /* 01111100 */
1526         0x00, /* 00000000 */
1527         0x00, /* 00000000 */
1528         0x00, /* 00000000 */
1529         0x00, /* 00000000 */
1530
1531         /* 57 0x39 '9' */
1532         0x00, /* 00000000 */
1533         0x00, /* 00000000 */
1534         0x7c, /* 01111100 */
1535         0xc6, /* 11000110 */
1536         0xc6, /* 11000110 */
1537         0xc6, /* 11000110 */
1538         0x7e, /* 01111110 */
1539         0x06, /* 00000110 */
1540         0x06, /* 00000110 */
1541         0x06, /* 00000110 */
1542         0x0c, /* 00001100 */
1543         0x78, /* 01111000 */
1544         0x00, /* 00000000 */
1545         0x00, /* 00000000 */
1546         0x00, /* 00000000 */
1547         0x00, /* 00000000 */
1548
1549         /* 58 0x3a ':' */
1550         0x00, /* 00000000 */
1551         0x00, /* 00000000 */
1552         0x00, /* 00000000 */
1553         0x00, /* 00000000 */
1554         0x18, /* 00011000 */
1555         0x18, /* 00011000 */
1556         0x00, /* 00000000 */
1557         0x00, /* 00000000 */
1558         0x00, /* 00000000 */
1559         0x18, /* 00011000 */
1560         0x18, /* 00011000 */
1561         0x00, /* 00000000 */
1562         0x00, /* 00000000 */
1563         0x00, /* 00000000 */
1564         0x00, /* 00000000 */
1565         0x00, /* 00000000 */
1566
1567         /* 59 0x3b ';' */
1568         0x00, /* 00000000 */
1569         0x00, /* 00000000 */
1570         0x00, /* 00000000 */
1571         0x00, /* 00000000 */
1572         0x18, /* 00011000 */
1573         0x18, /* 00011000 */
1574         0x00, /* 00000000 */
1575         0x00, /* 00000000 */
1576         0x00, /* 00000000 */
1577         0x18, /* 00011000 */
1578         0x18, /* 00011000 */
1579         0x30, /* 00110000 */
1580         0x00, /* 00000000 */
1581         0x00, /* 00000000 */
1582         0x00, /* 00000000 */
1583         0x00, /* 00000000 */
1584
1585         /* 60 0x3c '<' */
1586         0x00, /* 00000000 */
1587         0x00, /* 00000000 */
1588         0x00, /* 00000000 */
1589         0x06, /* 00000110 */
1590         0x0c, /* 00001100 */
1591         0x18, /* 00011000 */
1592         0x30, /* 00110000 */
1593         0x60, /* 01100000 */
1594         0x30, /* 00110000 */
1595         0x18, /* 00011000 */
1596         0x0c, /* 00001100 */
1597         0x06, /* 00000110 */
1598         0x00, /* 00000000 */
1599         0x00, /* 00000000 */
1600         0x00, /* 00000000 */
1601         0x00, /* 00000000 */
1602
1603         /* 61 0x3d '=' */
1604         0x00, /* 00000000 */
1605         0x00, /* 00000000 */
1606         0x00, /* 00000000 */
1607         0x00, /* 00000000 */
1608         0x00, /* 00000000 */
1609         0x7e, /* 01111110 */
1610         0x00, /* 00000000 */
1611         0x00, /* 00000000 */
1612         0x7e, /* 01111110 */
1613         0x00, /* 00000000 */
1614         0x00, /* 00000000 */
1615         0x00, /* 00000000 */
1616         0x00, /* 00000000 */
1617         0x00, /* 00000000 */
1618         0x00, /* 00000000 */
1619         0x00, /* 00000000 */
1620
1621         /* 62 0x3e '>' */
1622         0x00, /* 00000000 */
1623         0x00, /* 00000000 */
1624         0x00, /* 00000000 */
1625         0x60, /* 01100000 */
1626         0x30, /* 00110000 */
1627         0x18, /* 00011000 */
1628         0x0c, /* 00001100 */
1629         0x06, /* 00000110 */
1630         0x0c, /* 00001100 */
1631         0x18, /* 00011000 */
1632         0x30, /* 00110000 */
1633         0x60, /* 01100000 */
1634         0x00, /* 00000000 */
1635         0x00, /* 00000000 */
1636         0x00, /* 00000000 */
1637         0x00, /* 00000000 */
1638
1639         /* 63 0x3f '?' */
1640         0x00, /* 00000000 */
1641         0x00, /* 00000000 */
1642         0x7c, /* 01111100 */
1643         0xc6, /* 11000110 */
1644         0xc6, /* 11000110 */
1645         0x0c, /* 00001100 */
1646         0x18, /* 00011000 */
1647         0x18, /* 00011000 */
1648         0x18, /* 00011000 */
1649         0x00, /* 00000000 */
1650         0x18, /* 00011000 */
1651         0x18, /* 00011000 */
1652         0x00, /* 00000000 */
1653         0x00, /* 00000000 */
1654         0x00, /* 00000000 */
1655         0x00, /* 00000000 */
1656
1657         /* 64 0x40 '@' */
1658         0x00, /* 00000000 */
1659         0x00, /* 00000000 */
1660         0x00, /* 00000000 */
1661         0x7c, /* 01111100 */
1662         0xc6, /* 11000110 */
1663         0xc6, /* 11000110 */
1664         0xde, /* 11011110 */
1665         0xde, /* 11011110 */
1666         0xde, /* 11011110 */
1667         0xdc, /* 11011100 */
1668         0xc0, /* 11000000 */
1669         0x7c, /* 01111100 */
1670         0x00, /* 00000000 */
1671         0x00, /* 00000000 */
1672         0x00, /* 00000000 */
1673         0x00, /* 00000000 */
1674
1675         /* 65 0x41 'A' */
1676         0x00, /* 00000000 */
1677         0x00, /* 00000000 */
1678         0x10, /* 00010000 */
1679         0x38, /* 00111000 */
1680         0x6c, /* 01101100 */
1681         0xc6, /* 11000110 */
1682         0xc6, /* 11000110 */
1683         0xfe, /* 11111110 */
1684         0xc6, /* 11000110 */
1685         0xc6, /* 11000110 */
1686         0xc6, /* 11000110 */
1687         0xc6, /* 11000110 */
1688         0x00, /* 00000000 */
1689         0x00, /* 00000000 */
1690         0x00, /* 00000000 */
1691         0x00, /* 00000000 */
1692
1693         /* 66 0x42 'B' */
1694         0x00, /* 00000000 */
1695         0x00, /* 00000000 */
1696         0xfc, /* 11111100 */
1697         0x66, /* 01100110 */
1698         0x66, /* 01100110 */
1699         0x66, /* 01100110 */
1700         0x7c, /* 01111100 */
1701         0x66, /* 01100110 */
1702         0x66, /* 01100110 */
1703         0x66, /* 01100110 */
1704         0x66, /* 01100110 */
1705         0xfc, /* 11111100 */
1706         0x00, /* 00000000 */
1707         0x00, /* 00000000 */
1708         0x00, /* 00000000 */
1709         0x00, /* 00000000 */
1710
1711         /* 67 0x43 'C' */
1712         0x00, /* 00000000 */
1713         0x00, /* 00000000 */
1714         0x3c, /* 00111100 */
1715         0x66, /* 01100110 */
1716         0xc2, /* 11000010 */
1717         0xc0, /* 11000000 */
1718         0xc0, /* 11000000 */
1719         0xc0, /* 11000000 */
1720         0xc0, /* 11000000 */
1721         0xc2, /* 11000010 */
1722         0x66, /* 01100110 */
1723         0x3c, /* 00111100 */
1724         0x00, /* 00000000 */
1725         0x00, /* 00000000 */
1726         0x00, /* 00000000 */
1727         0x00, /* 00000000 */
1728
1729         /* 68 0x44 'D' */
1730         0x00, /* 00000000 */
1731         0x00, /* 00000000 */
1732         0xf8, /* 11111000 */
1733         0x6c, /* 01101100 */
1734         0x66, /* 01100110 */
1735         0x66, /* 01100110 */
1736         0x66, /* 01100110 */
1737         0x66, /* 01100110 */
1738         0x66, /* 01100110 */
1739         0x66, /* 01100110 */
1740         0x6c, /* 01101100 */
1741         0xf8, /* 11111000 */
1742         0x00, /* 00000000 */
1743         0x00, /* 00000000 */
1744         0x00, /* 00000000 */
1745         0x00, /* 00000000 */
1746
1747         /* 69 0x45 'E' */
1748         0x00, /* 00000000 */
1749         0x00, /* 00000000 */
1750         0xfe, /* 11111110 */
1751         0x66, /* 01100110 */
1752         0x62, /* 01100010 */
1753         0x68, /* 01101000 */
1754         0x78, /* 01111000 */
1755         0x68, /* 01101000 */
1756         0x60, /* 01100000 */
1757         0x62, /* 01100010 */
1758         0x66, /* 01100110 */
1759         0xfe, /* 11111110 */
1760         0x00, /* 00000000 */
1761         0x00, /* 00000000 */
1762         0x00, /* 00000000 */
1763         0x00, /* 00000000 */
1764
1765         /* 70 0x46 'F' */
1766         0x00, /* 00000000 */
1767         0x00, /* 00000000 */
1768         0xfe, /* 11111110 */
1769         0x66, /* 01100110 */
1770         0x62, /* 01100010 */
1771         0x68, /* 01101000 */
1772         0x78, /* 01111000 */
1773         0x68, /* 01101000 */
1774         0x60, /* 01100000 */
1775         0x60, /* 01100000 */
1776         0x60, /* 01100000 */
1777         0xf0, /* 11110000 */
1778         0x00, /* 00000000 */
1779         0x00, /* 00000000 */
1780         0x00, /* 00000000 */
1781         0x00, /* 00000000 */
1782
1783         /* 71 0x47 'G' */
1784         0x00, /* 00000000 */
1785         0x00, /* 00000000 */
1786         0x3c, /* 00111100 */
1787         0x66, /* 01100110 */
1788         0xc2, /* 11000010 */
1789         0xc0, /* 11000000 */
1790         0xc0, /* 11000000 */
1791         0xde, /* 11011110 */
1792         0xc6, /* 11000110 */
1793         0xc6, /* 11000110 */
1794         0x66, /* 01100110 */
1795         0x3a, /* 00111010 */
1796         0x00, /* 00000000 */
1797         0x00, /* 00000000 */
1798         0x00, /* 00000000 */
1799         0x00, /* 00000000 */
1800
1801         /* 72 0x48 'H' */
1802         0x00, /* 00000000 */
1803         0x00, /* 00000000 */
1804         0xc6, /* 11000110 */
1805         0xc6, /* 11000110 */
1806         0xc6, /* 11000110 */
1807         0xc6, /* 11000110 */
1808         0xfe, /* 11111110 */
1809         0xc6, /* 11000110 */
1810         0xc6, /* 11000110 */
1811         0xc6, /* 11000110 */
1812         0xc6, /* 11000110 */
1813         0xc6, /* 11000110 */
1814         0x00, /* 00000000 */
1815         0x00, /* 00000000 */
1816         0x00, /* 00000000 */
1817         0x00, /* 00000000 */
1818
1819         /* 73 0x49 'I' */
1820         0x00, /* 00000000 */
1821         0x00, /* 00000000 */
1822         0x3c, /* 00111100 */
1823         0x18, /* 00011000 */
1824         0x18, /* 00011000 */
1825         0x18, /* 00011000 */
1826         0x18, /* 00011000 */
1827         0x18, /* 00011000 */
1828         0x18, /* 00011000 */
1829         0x18, /* 00011000 */
1830         0x18, /* 00011000 */
1831         0x3c, /* 00111100 */
1832         0x00, /* 00000000 */
1833         0x00, /* 00000000 */
1834         0x00, /* 00000000 */
1835         0x00, /* 00000000 */
1836
1837         /* 74 0x4a 'J' */
1838         0x00, /* 00000000 */
1839         0x00, /* 00000000 */
1840         0x1e, /* 00011110 */
1841         0x0c, /* 00001100 */
1842         0x0c, /* 00001100 */
1843         0x0c, /* 00001100 */
1844         0x0c, /* 00001100 */
1845         0x0c, /* 00001100 */
1846         0xcc, /* 11001100 */
1847         0xcc, /* 11001100 */
1848         0xcc, /* 11001100 */
1849         0x78, /* 01111000 */
1850         0x00, /* 00000000 */
1851         0x00, /* 00000000 */
1852         0x00, /* 00000000 */
1853         0x00, /* 00000000 */
1854
1855         /* 75 0x4b 'K' */
1856         0x00, /* 00000000 */
1857         0x00, /* 00000000 */
1858         0xe6, /* 11100110 */
1859         0x66, /* 01100110 */
1860         0x66, /* 01100110 */
1861         0x6c, /* 01101100 */
1862         0x78, /* 01111000 */
1863         0x78, /* 01111000 */
1864         0x6c, /* 01101100 */
1865         0x66, /* 01100110 */
1866         0x66, /* 01100110 */
1867         0xe6, /* 11100110 */
1868         0x00, /* 00000000 */
1869         0x00, /* 00000000 */
1870         0x00, /* 00000000 */
1871         0x00, /* 00000000 */
1872
1873         /* 76 0x4c 'L' */
1874         0x00, /* 00000000 */
1875         0x00, /* 00000000 */
1876         0xf0, /* 11110000 */
1877         0x60, /* 01100000 */
1878         0x60, /* 01100000 */
1879         0x60, /* 01100000 */
1880         0x60, /* 01100000 */
1881         0x60, /* 01100000 */
1882         0x60, /* 01100000 */
1883         0x62, /* 01100010 */
1884         0x66, /* 01100110 */
1885         0xfe, /* 11111110 */
1886         0x00, /* 00000000 */
1887         0x00, /* 00000000 */
1888         0x00, /* 00000000 */
1889         0x00, /* 00000000 */
1890
1891         /* 77 0x4d 'M' */
1892         0x00, /* 00000000 */
1893         0x00, /* 00000000 */
1894         0xc6, /* 11000110 */
1895         0xee, /* 11101110 */
1896         0xfe, /* 11111110 */
1897         0xfe, /* 11111110 */
1898         0xd6, /* 11010110 */
1899         0xc6, /* 11000110 */
1900         0xc6, /* 11000110 */
1901         0xc6, /* 11000110 */
1902         0xc6, /* 11000110 */
1903         0xc6, /* 11000110 */
1904         0x00, /* 00000000 */
1905         0x00, /* 00000000 */
1906         0x00, /* 00000000 */
1907         0x00, /* 00000000 */
1908
1909         /* 78 0x4e 'N' */
1910         0x00, /* 00000000 */
1911         0x00, /* 00000000 */
1912         0xc6, /* 11000110 */
1913         0xe6, /* 11100110 */
1914         0xf6, /* 11110110 */
1915         0xfe, /* 11111110 */
1916         0xde, /* 11011110 */
1917         0xce, /* 11001110 */
1918         0xc6, /* 11000110 */
1919         0xc6, /* 11000110 */
1920         0xc6, /* 11000110 */
1921         0xc6, /* 11000110 */
1922         0x00, /* 00000000 */
1923         0x00, /* 00000000 */
1924         0x00, /* 00000000 */
1925         0x00, /* 00000000 */
1926
1927         /* 79 0x4f 'O' */
1928         0x00, /* 00000000 */
1929         0x00, /* 00000000 */
1930         0x7c, /* 01111100 */
1931         0xc6, /* 11000110 */
1932         0xc6, /* 11000110 */
1933         0xc6, /* 11000110 */
1934         0xc6, /* 11000110 */
1935         0xc6, /* 11000110 */
1936         0xc6, /* 11000110 */
1937         0xc6, /* 11000110 */
1938         0xc6, /* 11000110 */
1939         0x7c, /* 01111100 */
1940         0x00, /* 00000000 */
1941         0x00, /* 00000000 */
1942         0x00, /* 00000000 */
1943         0x00, /* 00000000 */
1944
1945         /* 80 0x50 'P' */
1946         0x00, /* 00000000 */
1947         0x00, /* 00000000 */
1948         0xfc, /* 11111100 */
1949         0x66, /* 01100110 */
1950         0x66, /* 01100110 */
1951         0x66, /* 01100110 */
1952         0x7c, /* 01111100 */
1953         0x60, /* 01100000 */
1954         0x60, /* 01100000 */
1955         0x60, /* 01100000 */
1956         0x60, /* 01100000 */
1957         0xf0, /* 11110000 */
1958         0x00, /* 00000000 */
1959         0x00, /* 00000000 */
1960         0x00, /* 00000000 */
1961         0x00, /* 00000000 */
1962
1963         /* 81 0x51 'Q' */
1964         0x00, /* 00000000 */
1965         0x00, /* 00000000 */
1966         0x7c, /* 01111100 */
1967         0xc6, /* 11000110 */
1968         0xc6, /* 11000110 */
1969         0xc6, /* 11000110 */
1970         0xc6, /* 11000110 */
1971         0xc6, /* 11000110 */
1972         0xc6, /* 11000110 */
1973         0xd6, /* 11010110 */
1974         0xde, /* 11011110 */
1975         0x7c, /* 01111100 */
1976         0x0c, /* 00001100 */
1977         0x0e, /* 00001110 */
1978         0x00, /* 00000000 */
1979         0x00, /* 00000000 */
1980
1981         /* 82 0x52 'R' */
1982         0x00, /* 00000000 */
1983         0x00, /* 00000000 */
1984         0xfc, /* 11111100 */
1985         0x66, /* 01100110 */
1986         0x66, /* 01100110 */
1987         0x66, /* 01100110 */
1988         0x7c, /* 01111100 */
1989         0x6c, /* 01101100 */
1990         0x66, /* 01100110 */
1991         0x66, /* 01100110 */
1992         0x66, /* 01100110 */
1993         0xe6, /* 11100110 */
1994         0x00, /* 00000000 */
1995         0x00, /* 00000000 */
1996         0x00, /* 00000000 */
1997         0x00, /* 00000000 */
1998
1999         /* 83 0x53 'S' */
2000         0x00, /* 00000000 */
2001         0x00, /* 00000000 */
2002         0x7c, /* 01111100 */
2003         0xc6, /* 11000110 */
2004         0xc6, /* 11000110 */
2005         0x60, /* 01100000 */
2006         0x38, /* 00111000 */
2007         0x0c, /* 00001100 */
2008         0x06, /* 00000110 */
2009         0xc6, /* 11000110 */
2010         0xc6, /* 11000110 */
2011         0x7c, /* 01111100 */
2012         0x00, /* 00000000 */
2013         0x00, /* 00000000 */
2014         0x00, /* 00000000 */
2015         0x00, /* 00000000 */
2016
2017         /* 84 0x54 'T' */
2018         0x00, /* 00000000 */
2019         0x00, /* 00000000 */
2020         0x7e, /* 01111110 */
2021         0x7e, /* 01111110 */
2022         0x5a, /* 01011010 */
2023         0x18, /* 00011000 */
2024         0x18, /* 00011000 */
2025         0x18, /* 00011000 */
2026         0x18, /* 00011000 */
2027         0x18, /* 00011000 */
2028         0x18, /* 00011000 */
2029         0x3c, /* 00111100 */
2030         0x00, /* 00000000 */
2031         0x00, /* 00000000 */
2032         0x00, /* 00000000 */
2033         0x00, /* 00000000 */
2034
2035         /* 85 0x55 'U' */
2036         0x00, /* 00000000 */
2037         0x00, /* 00000000 */
2038         0xc6, /* 11000110 */
2039         0xc6, /* 11000110 */
2040         0xc6, /* 11000110 */
2041         0xc6, /* 11000110 */
2042         0xc6, /* 11000110 */
2043         0xc6, /* 11000110 */
2044         0xc6, /* 11000110 */
2045         0xc6, /* 11000110 */
2046         0xc6, /* 11000110 */
2047         0x7c, /* 01111100 */
2048         0x00, /* 00000000 */
2049         0x00, /* 00000000 */
2050         0x00, /* 00000000 */
2051         0x00, /* 00000000 */
2052
2053         /* 86 0x56 'V' */
2054         0x00, /* 00000000 */
2055         0x00, /* 00000000 */
2056         0xc6, /* 11000110 */
2057         0xc6, /* 11000110 */
2058         0xc6, /* 11000110 */
2059         0xc6, /* 11000110 */
2060         0xc6, /* 11000110 */
2061         0xc6, /* 11000110 */
2062         0xc6, /* 11000110 */
2063         0x6c, /* 01101100 */
2064         0x38, /* 00111000 */
2065         0x10, /* 00010000 */
2066         0x00, /* 00000000 */
2067         0x00, /* 00000000 */
2068         0x00, /* 00000000 */
2069         0x00, /* 00000000 */
2070
2071         /* 87 0x57 'W' */
2072         0x00, /* 00000000 */
2073         0x00, /* 00000000 */
2074         0xc6, /* 11000110 */
2075         0xc6, /* 11000110 */
2076         0xc6, /* 11000110 */
2077         0xc6, /* 11000110 */
2078         0xd6, /* 11010110 */
2079         0xd6, /* 11010110 */
2080         0xd6, /* 11010110 */
2081         0xfe, /* 11111110 */
2082         0xee, /* 11101110 */
2083         0x6c, /* 01101100 */
2084         0x00, /* 00000000 */
2085         0x00, /* 00000000 */
2086         0x00, /* 00000000 */
2087         0x00, /* 00000000 */
2088
2089         /* 88 0x58 'X' */
2090         0x00, /* 00000000 */
2091         0x00, /* 00000000 */
2092         0xc6, /* 11000110 */
2093         0xc6, /* 11000110 */
2094         0x6c, /* 01101100 */
2095         0x7c, /* 01111100 */
2096         0x38, /* 00111000 */
2097         0x38, /* 00111000 */
2098         0x7c, /* 01111100 */
2099         0x6c, /* 01101100 */
2100         0xc6, /* 11000110 */
2101         0xc6, /* 11000110 */
2102         0x00, /* 00000000 */
2103         0x00, /* 00000000 */
2104         0x00, /* 00000000 */
2105         0x00, /* 00000000 */
2106
2107         /* 89 0x59 'Y' */
2108         0x00, /* 00000000 */
2109         0x00, /* 00000000 */
2110         0x66, /* 01100110 */
2111         0x66, /* 01100110 */
2112         0x66, /* 01100110 */
2113         0x66, /* 01100110 */
2114         0x3c, /* 00111100 */
2115         0x18, /* 00011000 */
2116         0x18, /* 00011000 */
2117         0x18, /* 00011000 */
2118         0x18, /* 00011000 */
2119         0x3c, /* 00111100 */
2120         0x00, /* 00000000 */
2121         0x00, /* 00000000 */
2122         0x00, /* 00000000 */
2123         0x00, /* 00000000 */
2124
2125         /* 90 0x5a 'Z' */
2126         0x00, /* 00000000 */
2127         0x00, /* 00000000 */
2128         0xfe, /* 11111110 */
2129         0xc6, /* 11000110 */
2130         0x86, /* 10000110 */
2131         0x0c, /* 00001100 */
2132         0x18, /* 00011000 */
2133         0x30, /* 00110000 */
2134         0x60, /* 01100000 */
2135         0xc2, /* 11000010 */
2136         0xc6, /* 11000110 */
2137         0xfe, /* 11111110 */
2138         0x00, /* 00000000 */
2139         0x00, /* 00000000 */
2140         0x00, /* 00000000 */
2141         0x00, /* 00000000 */
2142
2143         /* 91 0x5b '[' */
2144         0x00, /* 00000000 */
2145         0x00, /* 00000000 */
2146         0x3c, /* 00111100 */
2147         0x30, /* 00110000 */
2148         0x30, /* 00110000 */
2149         0x30, /* 00110000 */
2150         0x30, /* 00110000 */
2151         0x30, /* 00110000 */
2152         0x30, /* 00110000 */
2153         0x30, /* 00110000 */
2154         0x30, /* 00110000 */
2155         0x3c, /* 00111100 */
2156         0x00, /* 00000000 */
2157         0x00, /* 00000000 */
2158         0x00, /* 00000000 */
2159         0x00, /* 00000000 */
2160
2161         /* 92 0x5c '\' */
2162         0x00, /* 00000000 */
2163         0x00, /* 00000000 */
2164         0x00, /* 00000000 */
2165         0x80, /* 10000000 */
2166         0xc0, /* 11000000 */
2167         0xe0, /* 11100000 */
2168         0x70, /* 01110000 */
2169         0x38, /* 00111000 */
2170         0x1c, /* 00011100 */
2171         0x0e, /* 00001110 */
2172         0x06, /* 00000110 */
2173         0x02, /* 00000010 */
2174         0x00, /* 00000000 */
2175         0x00, /* 00000000 */
2176         0x00, /* 00000000 */
2177         0x00, /* 00000000 */
2178
2179         /* 93 0x5d ']' */
2180         0x00, /* 00000000 */
2181         0x00, /* 00000000 */
2182         0x3c, /* 00111100 */
2183         0x0c, /* 00001100 */
2184         0x0c, /* 00001100 */
2185         0x0c, /* 00001100 */
2186         0x0c, /* 00001100 */
2187         0x0c, /* 00001100 */
2188         0x0c, /* 00001100 */
2189         0x0c, /* 00001100 */
2190         0x0c, /* 00001100 */
2191         0x3c, /* 00111100 */
2192         0x00, /* 00000000 */
2193         0x00, /* 00000000 */
2194         0x00, /* 00000000 */
2195         0x00, /* 00000000 */
2196
2197         /* 94 0x5e '^' */
2198         0x10, /* 00010000 */
2199         0x38, /* 00111000 */
2200         0x6c, /* 01101100 */
2201         0xc6, /* 11000110 */
2202         0x00, /* 00000000 */
2203         0x00, /* 00000000 */
2204         0x00, /* 00000000 */
2205         0x00, /* 00000000 */
2206         0x00, /* 00000000 */
2207         0x00, /* 00000000 */
2208         0x00, /* 00000000 */
2209         0x00, /* 00000000 */
2210         0x00, /* 00000000 */
2211         0x00, /* 00000000 */
2212         0x00, /* 00000000 */
2213         0x00, /* 00000000 */
2214
2215         /* 95 0x5f '_' */
2216         0x00, /* 00000000 */
2217         0x00, /* 00000000 */
2218         0x00, /* 00000000 */
2219         0x00, /* 00000000 */
2220         0x00, /* 00000000 */
2221         0x00, /* 00000000 */
2222         0x00, /* 00000000 */
2223         0x00, /* 00000000 */
2224         0x00, /* 00000000 */
2225         0x00, /* 00000000 */
2226         0x00, /* 00000000 */
2227         0x00, /* 00000000 */
2228         0x00, /* 00000000 */
2229         0xff, /* 11111111 */
2230         0x00, /* 00000000 */
2231         0x00, /* 00000000 */
2232
2233         /* 96 0x60 '`' */
2234         0x00, /* 00000000 */
2235         0x30, /* 00110000 */
2236         0x18, /* 00011000 */
2237         0x0c, /* 00001100 */
2238         0x00, /* 00000000 */
2239         0x00, /* 00000000 */
2240         0x00, /* 00000000 */
2241         0x00, /* 00000000 */
2242         0x00, /* 00000000 */
2243         0x00, /* 00000000 */
2244         0x00, /* 00000000 */
2245         0x00, /* 00000000 */
2246         0x00, /* 00000000 */
2247         0x00, /* 00000000 */
2248         0x00, /* 00000000 */
2249         0x00, /* 00000000 */
2250
2251         /* 97 0x61 'a' */
2252         0x00, /* 00000000 */
2253         0x00, /* 00000000 */
2254         0x00, /* 00000000 */
2255         0x00, /* 00000000 */
2256         0x00, /* 00000000 */
2257         0x78, /* 01111000 */
2258         0x0c, /* 00001100 */
2259         0x7c, /* 01111100 */
2260         0xcc, /* 11001100 */
2261         0xcc, /* 11001100 */
2262         0xcc, /* 11001100 */
2263         0x76, /* 01110110 */
2264         0x00, /* 00000000 */
2265         0x00, /* 00000000 */
2266         0x00, /* 00000000 */
2267         0x00, /* 00000000 */
2268
2269         /* 98 0x62 'b' */
2270         0x00, /* 00000000 */
2271         0x00, /* 00000000 */
2272         0xe0, /* 11100000 */
2273         0x60, /* 01100000 */
2274         0x60, /* 01100000 */
2275         0x78, /* 01111000 */
2276         0x6c, /* 01101100 */
2277         0x66, /* 01100110 */
2278         0x66, /* 01100110 */
2279         0x66, /* 01100110 */
2280         0x66, /* 01100110 */
2281         0x7c, /* 01111100 */
2282         0x00, /* 00000000 */
2283         0x00, /* 00000000 */
2284         0x00, /* 00000000 */
2285         0x00, /* 00000000 */
2286
2287         /* 99 0x63 'c' */
2288         0x00, /* 00000000 */
2289         0x00, /* 00000000 */
2290         0x00, /* 00000000 */
2291         0x00, /* 00000000 */
2292         0x00, /* 00000000 */
2293         0x7c, /* 01111100 */
2294         0xc6, /* 11000110 */
2295         0xc0, /* 11000000 */
2296         0xc0, /* 11000000 */
2297         0xc0, /* 11000000 */
2298         0xc6, /* 11000110 */
2299         0x7c, /* 01111100 */
2300         0x00, /* 00000000 */
2301         0x00, /* 00000000 */
2302         0x00, /* 00000000 */
2303         0x00, /* 00000000 */
2304
2305         /* 100 0x64 'd' */
2306         0x00, /* 00000000 */
2307         0x00, /* 00000000 */
2308         0x1c, /* 00011100 */
2309         0x0c, /* 00001100 */
2310         0x0c, /* 00001100 */
2311         0x3c, /* 00111100 */
2312         0x6c, /* 01101100 */
2313         0xcc, /* 11001100 */
2314         0xcc, /* 11001100 */
2315         0xcc, /* 11001100 */
2316         0xcc, /* 11001100 */
2317         0x76, /* 01110110 */
2318         0x00, /* 00000000 */
2319         0x00, /* 00000000 */
2320         0x00, /* 00000000 */
2321         0x00, /* 00000000 */
2322
2323         /* 101 0x65 'e' */
2324         0x00, /* 00000000 */
2325         0x00, /* 00000000 */
2326         0x00, /* 00000000 */
2327         0x00, /* 00000000 */
2328         0x00, /* 00000000 */
2329         0x7c, /* 01111100 */
2330         0xc6, /* 11000110 */
2331         0xfe, /* 11111110 */
2332         0xc0, /* 11000000 */
2333         0xc0, /* 11000000 */
2334         0xc6, /* 11000110 */
2335         0x7c, /* 01111100 */
2336         0x00, /* 00000000 */
2337         0x00, /* 00000000 */
2338         0x00, /* 00000000 */
2339         0x00, /* 00000000 */
2340
2341         /* 102 0x66 'f' */
2342         0x00, /* 00000000 */
2343         0x00, /* 00000000 */
2344         0x1c, /* 00011100 */
2345         0x36, /* 00110110 */
2346         0x32, /* 00110010 */
2347         0x30, /* 00110000 */
2348         0x78, /* 01111000 */
2349         0x30, /* 00110000 */
2350         0x30, /* 00110000 */
2351         0x30, /* 00110000 */
2352         0x30, /* 00110000 */
2353         0x78, /* 01111000 */
2354         0x00, /* 00000000 */
2355         0x00, /* 00000000 */
2356         0x00, /* 00000000 */
2357         0x00, /* 00000000 */
2358
2359         /* 103 0x67 'g' */
2360         0x00, /* 00000000 */
2361         0x00, /* 00000000 */
2362         0x00, /* 00000000 */
2363         0x00, /* 00000000 */
2364         0x00, /* 00000000 */
2365         0x76, /* 01110110 */
2366         0xcc, /* 11001100 */
2367         0xcc, /* 11001100 */
2368         0xcc, /* 11001100 */
2369         0xcc, /* 11001100 */
2370         0xcc, /* 11001100 */
2371         0x7c, /* 01111100 */
2372         0x0c, /* 00001100 */
2373         0xcc, /* 11001100 */
2374         0x78, /* 01111000 */
2375         0x00, /* 00000000 */
2376
2377         /* 104 0x68 'h' */
2378         0x00, /* 00000000 */
2379         0x00, /* 00000000 */
2380         0xe0, /* 11100000 */
2381         0x60, /* 01100000 */
2382         0x60, /* 01100000 */
2383         0x6c, /* 01101100 */
2384         0x76, /* 01110110 */
2385         0x66, /* 01100110 */
2386         0x66, /* 01100110 */
2387         0x66, /* 01100110 */
2388         0x66, /* 01100110 */
2389         0xe6, /* 11100110 */
2390         0x00, /* 00000000 */
2391         0x00, /* 00000000 */
2392         0x00, /* 00000000 */
2393         0x00, /* 00000000 */
2394
2395         /* 105 0x69 'i' */
2396         0x00, /* 00000000 */
2397         0x00, /* 00000000 */
2398         0x18, /* 00011000 */
2399         0x18, /* 00011000 */
2400         0x00, /* 00000000 */
2401         0x38, /* 00111000 */
2402         0x18, /* 00011000 */
2403         0x18, /* 00011000 */
2404         0x18, /* 00011000 */
2405         0x18, /* 00011000 */
2406         0x18, /* 00011000 */
2407         0x3c, /* 00111100 */
2408         0x00, /* 00000000 */
2409         0x00, /* 00000000 */
2410         0x00, /* 00000000 */
2411         0x00, /* 00000000 */
2412
2413         /* 106 0x6a 'j' */
2414         0x00, /* 00000000 */
2415         0x00, /* 00000000 */
2416         0x06, /* 00000110 */
2417         0x06, /* 00000110 */
2418         0x00, /* 00000000 */
2419         0x0e, /* 00001110 */
2420         0x06, /* 00000110 */
2421         0x06, /* 00000110 */
2422         0x06, /* 00000110 */
2423         0x06, /* 00000110 */
2424         0x06, /* 00000110 */
2425         0x06, /* 00000110 */
2426         0x66, /* 01100110 */
2427         0x66, /* 01100110 */
2428         0x3c, /* 00111100 */
2429         0x00, /* 00000000 */
2430
2431         /* 107 0x6b 'k' */
2432         0x00, /* 00000000 */
2433         0x00, /* 00000000 */
2434         0xe0, /* 11100000 */
2435         0x60, /* 01100000 */
2436         0x60, /* 01100000 */
2437         0x66, /* 01100110 */
2438         0x6c, /* 01101100 */
2439         0x78, /* 01111000 */
2440         0x78, /* 01111000 */
2441         0x6c, /* 01101100 */
2442         0x66, /* 01100110 */
2443         0xe6, /* 11100110 */
2444         0x00, /* 00000000 */
2445         0x00, /* 00000000 */
2446         0x00, /* 00000000 */
2447         0x00, /* 00000000 */
2448
2449         /* 108 0x6c 'l' */
2450         0x00, /* 00000000 */
2451         0x00, /* 00000000 */
2452         0x38, /* 00111000 */
2453         0x18, /* 00011000 */
2454         0x18, /* 00011000 */
2455         0x18, /* 00011000 */
2456         0x18, /* 00011000 */
2457         0x18, /* 00011000 */
2458         0x18, /* 00011000 */
2459         0x18, /* 00011000 */
2460         0x18, /* 00011000 */
2461         0x3c, /* 00111100 */
2462         0x00, /* 00000000 */
2463         0x00, /* 00000000 */
2464         0x00, /* 00000000 */
2465         0x00, /* 00000000 */
2466
2467         /* 109 0x6d 'm' */
2468         0x00, /* 00000000 */
2469         0x00, /* 00000000 */
2470         0x00, /* 00000000 */
2471         0x00, /* 00000000 */
2472         0x00, /* 00000000 */
2473         0xec, /* 11101100 */
2474         0xfe, /* 11111110 */
2475         0xd6, /* 11010110 */
2476         0xd6, /* 11010110 */
2477         0xd6, /* 11010110 */
2478         0xd6, /* 11010110 */
2479         0xc6, /* 11000110 */
2480         0x00, /* 00000000 */
2481         0x00, /* 00000000 */
2482         0x00, /* 00000000 */
2483         0x00, /* 00000000 */
2484
2485         /* 110 0x6e 'n' */
2486         0x00, /* 00000000 */
2487         0x00, /* 00000000 */
2488         0x00, /* 00000000 */
2489         0x00, /* 00000000 */
2490         0x00, /* 00000000 */
2491         0xdc, /* 11011100 */
2492         0x66, /* 01100110 */
2493         0x66, /* 01100110 */
2494         0x66, /* 01100110 */
2495         0x66, /* 01100110 */
2496         0x66, /* 01100110 */
2497         0x66, /* 01100110 */
2498         0x00, /* 00000000 */
2499         0x00, /* 00000000 */
2500         0x00, /* 00000000 */
2501         0x00, /* 00000000 */
2502
2503         /* 111 0x6f 'o' */
2504         0x00, /* 00000000 */
2505         0x00, /* 00000000 */
2506         0x00, /* 00000000 */
2507         0x00, /* 00000000 */
2508         0x00, /* 00000000 */
2509         0x7c, /* 01111100 */
2510         0xc6, /* 11000110 */
2511         0xc6, /* 11000110 */
2512         0xc6, /* 11000110 */
2513         0xc6, /* 11000110 */
2514         0xc6, /* 11000110 */
2515         0x7c, /* 01111100 */
2516         0x00, /* 00000000 */
2517         0x00, /* 00000000 */
2518         0x00, /* 00000000 */
2519         0x00, /* 00000000 */
2520
2521         /* 112 0x70 'p' */
2522         0x00, /* 00000000 */
2523         0x00, /* 00000000 */
2524         0x00, /* 00000000 */
2525         0x00, /* 00000000 */
2526         0x00, /* 00000000 */
2527         0xdc, /* 11011100 */
2528         0x66, /* 01100110 */
2529         0x66, /* 01100110 */
2530         0x66, /* 01100110 */
2531         0x66, /* 01100110 */
2532         0x66, /* 01100110 */
2533         0x7c, /* 01111100 */
2534         0x60, /* 01100000 */
2535         0x60, /* 01100000 */
2536         0xf0, /* 11110000 */
2537         0x00, /* 00000000 */
2538
2539         /* 113 0x71 'q' */
2540         0x00, /* 00000000 */
2541         0x00, /* 00000000 */
2542         0x00, /* 00000000 */
2543         0x00, /* 00000000 */
2544         0x00, /* 00000000 */
2545         0x76, /* 01110110 */
2546         0xcc, /* 11001100 */
2547         0xcc, /* 11001100 */
2548         0xcc, /* 11001100 */
2549         0xcc, /* 11001100 */
2550         0xcc, /* 11001100 */
2551         0x7c, /* 01111100 */
2552         0x0c, /* 00001100 */
2553         0x0c, /* 00001100 */
2554         0x1e, /* 00011110 */
2555         0x00, /* 00000000 */
2556
2557         /* 114 0x72 'r' */
2558         0x00, /* 00000000 */
2559         0x00, /* 00000000 */
2560         0x00, /* 00000000 */
2561         0x00, /* 00000000 */
2562         0x00, /* 00000000 */
2563         0xdc, /* 11011100 */
2564         0x76, /* 01110110 */
2565         0x66, /* 01100110 */
2566         0x60, /* 01100000 */
2567         0x60, /* 01100000 */
2568         0x60, /* 01100000 */
2569         0xf0, /* 11110000 */
2570         0x00, /* 00000000 */
2571         0x00, /* 00000000 */
2572         0x00, /* 00000000 */
2573         0x00, /* 00000000 */
2574
2575         /* 115 0x73 's' */
2576         0x00, /* 00000000 */
2577         0x00, /* 00000000 */
2578         0x00, /* 00000000 */
2579         0x00, /* 00000000 */
2580         0x00, /* 00000000 */
2581         0x7c, /* 01111100 */
2582         0xc6, /* 11000110 */
2583         0x60, /* 01100000 */
2584         0x38, /* 00111000 */
2585         0x0c, /* 00001100 */
2586         0xc6, /* 11000110 */
2587         0x7c, /* 01111100 */
2588         0x00, /* 00000000 */
2589         0x00, /* 00000000 */
2590         0x00, /* 00000000 */
2591         0x00, /* 00000000 */
2592
2593         /* 116 0x74 't' */
2594         0x00, /* 00000000 */
2595         0x00, /* 00000000 */
2596         0x10, /* 00010000 */
2597         0x30, /* 00110000 */
2598         0x30, /* 00110000 */
2599         0xfc, /* 11111100 */
2600         0x30, /* 00110000 */
2601         0x30, /* 00110000 */
2602         0x30, /* 00110000 */
2603         0x30, /* 00110000 */
2604         0x36, /* 00110110 */
2605         0x1c, /* 00011100 */
2606         0x00, /* 00000000 */
2607         0x00, /* 00000000 */
2608         0x00, /* 00000000 */
2609         0x00, /* 00000000 */
2610
2611         /* 117 0x75 'u' */
2612         0x00, /* 00000000 */
2613         0x00, /* 00000000 */
2614         0x00, /* 00000000 */
2615         0x00, /* 00000000 */
2616         0x00, /* 00000000 */
2617         0xcc, /* 11001100 */
2618         0xcc, /* 11001100 */
2619         0xcc, /* 11001100 */
2620         0xcc, /* 11001100 */
2621         0xcc, /* 11001100 */
2622         0xcc, /* 11001100 */
2623         0x76, /* 01110110 */
2624         0x00, /* 00000000 */
2625         0x00, /* 00000000 */
2626         0x00, /* 00000000 */
2627         0x00, /* 00000000 */
2628
2629         /* 118 0x76 'v' */
2630         0x00, /* 00000000 */
2631         0x00, /* 00000000 */
2632         0x00, /* 00000000 */
2633         0x00, /* 00000000 */
2634         0x00, /* 00000000 */
2635         0xc6, /* 11000110 */
2636         0xc6, /* 11000110 */
2637         0xc6, /* 11000110 */
2638         0xc6, /* 11000110 */
2639         0xc6, /* 11000110 */
2640         0x6c, /* 01101100 */
2641         0x38, /* 00111000 */
2642         0x00, /* 00000000 */
2643         0x00, /* 00000000 */
2644         0x00, /* 00000000 */
2645         0x00, /* 00000000 */
2646
2647         /* 119 0x77 'w' */
2648         0x00, /* 00000000 */
2649         0x00, /* 00000000 */
2650         0x00, /* 00000000 */
2651         0x00, /* 00000000 */
2652         0x00, /* 00000000 */
2653         0xc6, /* 11000110 */
2654         0xc6, /* 11000110 */
2655         0xd6, /* 11010110 */
2656         0xd6, /* 11010110 */
2657         0xd6, /* 11010110 */
2658         0xfe, /* 11111110 */
2659         0x6c, /* 01101100 */
2660         0x00, /* 00000000 */
2661         0x00, /* 00000000 */
2662         0x00, /* 00000000 */
2663         0x00, /* 00000000 */
2664
2665         /* 120 0x78 'x' */
2666         0x00, /* 00000000 */
2667         0x00, /* 00000000 */
2668         0x00, /* 00000000 */
2669         0x00, /* 00000000 */
2670         0x00, /* 00000000 */
2671         0xc6, /* 11000110 */
2672         0x6c, /* 01101100 */
2673         0x38, /* 00111000 */
2674         0x38, /* 00111000 */
2675         0x38, /* 00111000 */
2676         0x6c, /* 01101100 */
2677         0xc6, /* 11000110 */
2678         0x00, /* 00000000 */
2679         0x00, /* 00000000 */
2680         0x00, /* 00000000 */
2681         0x00, /* 00000000 */
2682
2683         /* 121 0x79 'y' */
2684         0x00, /* 00000000 */
2685         0x00, /* 00000000 */
2686         0x00, /* 00000000 */
2687         0x00, /* 00000000 */
2688         0x00, /* 00000000 */
2689         0xc6, /* 11000110 */
2690         0xc6, /* 11000110 */
2691         0xc6, /* 11000110 */
2692         0xc6, /* 11000110 */
2693         0xc6, /* 11000110 */
2694         0xc6, /* 11000110 */
2695         0x7e, /* 01111110 */
2696         0x06, /* 00000110 */
2697         0x0c, /* 00001100 */
2698         0xf8, /* 11111000 */
2699         0x00, /* 00000000 */
2700
2701         /* 122 0x7a 'z' */
2702         0x00, /* 00000000 */
2703         0x00, /* 00000000 */
2704         0x00, /* 00000000 */
2705         0x00, /* 00000000 */
2706         0x00, /* 00000000 */
2707         0xfe, /* 11111110 */
2708         0xcc, /* 11001100 */
2709         0x18, /* 00011000 */
2710         0x30, /* 00110000 */
2711         0x60, /* 01100000 */
2712         0xc6, /* 11000110 */
2713         0xfe, /* 11111110 */
2714         0x00, /* 00000000 */
2715         0x00, /* 00000000 */
2716         0x00, /* 00000000 */
2717         0x00, /* 00000000 */
2718
2719         /* 123 0x7b '{' */
2720         0x00, /* 00000000 */
2721         0x00, /* 00000000 */
2722         0x0e, /* 00001110 */
2723         0x18, /* 00011000 */
2724         0x18, /* 00011000 */
2725         0x18, /* 00011000 */
2726         0x70, /* 01110000 */
2727         0x18, /* 00011000 */
2728         0x18, /* 00011000 */
2729         0x18, /* 00011000 */
2730         0x18, /* 00011000 */
2731         0x0e, /* 00001110 */
2732         0x00, /* 00000000 */
2733         0x00, /* 00000000 */
2734         0x00, /* 00000000 */
2735         0x00, /* 00000000 */
2736
2737         /* 124 0x7c '|' */
2738         0x00, /* 00000000 */
2739         0x00, /* 00000000 */
2740         0x18, /* 00011000 */
2741         0x18, /* 00011000 */
2742         0x18, /* 00011000 */
2743         0x18, /* 00011000 */
2744         0x18, /* 00011000 */
2745         0x18, /* 00011000 */
2746         0x18, /* 00011000 */
2747         0x18, /* 00011000 */
2748         0x18, /* 00011000 */
2749         0x18, /* 00011000 */
2750         0x00, /* 00000000 */
2751         0x00, /* 00000000 */
2752         0x00, /* 00000000 */
2753         0x00, /* 00000000 */
2754
2755         /* 125 0x7d '}' */
2756         0x00, /* 00000000 */
2757         0x00, /* 00000000 */
2758         0x70, /* 01110000 */
2759         0x18, /* 00011000 */
2760         0x18, /* 00011000 */
2761         0x18, /* 00011000 */
2762         0x0e, /* 00001110 */
2763         0x18, /* 00011000 */
2764         0x18, /* 00011000 */
2765         0x18, /* 00011000 */
2766         0x18, /* 00011000 */
2767         0x70, /* 01110000 */
2768         0x00, /* 00000000 */
2769         0x00, /* 00000000 */
2770         0x00, /* 00000000 */
2771         0x00, /* 00000000 */
2772
2773         /* 126 0x7e '~' */
2774         0x00, /* 00000000 */
2775         0x76, /* 01110110 */
2776         0xdc, /* 11011100 */
2777         0x00, /* 00000000 */
2778         0x00, /* 00000000 */
2779         0x00, /* 00000000 */
2780         0x00, /* 00000000 */
2781         0x00, /* 00000000 */
2782         0x00, /* 00000000 */
2783         0x00, /* 00000000 */
2784         0x00, /* 00000000 */
2785         0x00, /* 00000000 */
2786         0x00, /* 00000000 */
2787         0x00, /* 00000000 */
2788         0x00, /* 00000000 */
2789         0x00, /* 00000000 */
2790
2791         /* 127 0x7f '' */
2792         0x00, /* 00000000 */
2793         0x00, /* 00000000 */
2794         0x00, /* 00000000 */
2795         0x00, /* 00000000 */
2796         0x10, /* 00010000 */
2797         0x38, /* 00111000 */
2798         0x6c, /* 01101100 */
2799         0xc6, /* 11000110 */
2800         0xc6, /* 11000110 */
2801         0xc6, /* 11000110 */
2802         0xfe, /* 11111110 */
2803         0x00, /* 00000000 */
2804         0x00, /* 00000000 */
2805         0x00, /* 00000000 */
2806         0x00, /* 00000000 */
2807         0x00, /* 00000000 */
2808
2809         /* 128 0x80 '' */
2810         0x00, /* 00000000 */
2811         0x00, /* 00000000 */
2812         0x3c, /* 00111100 */
2813         0x66, /* 01100110 */
2814         0xc2, /* 11000010 */
2815         0xc0, /* 11000000 */
2816         0xc0, /* 11000000 */
2817         0xc0, /* 11000000 */
2818         0xc0, /* 11000000 */
2819         0xc2, /* 11000010 */
2820         0x66, /* 01100110 */
2821         0x3c, /* 00111100 */
2822         0x18, /* 00011000 */
2823         0x70, /* 01110000 */
2824         0x00, /* 00000000 */
2825         0x00, /* 00000000 */
2826
2827         /* 129 0x81 '' */
2828         0x00, /* 00000000 */
2829         0x00, /* 00000000 */
2830         0xcc, /* 11001100 */
2831         0x00, /* 00000000 */
2832         0x00, /* 00000000 */
2833         0xcc, /* 11001100 */
2834         0xcc, /* 11001100 */
2835         0xcc, /* 11001100 */
2836         0xcc, /* 11001100 */
2837         0xcc, /* 11001100 */
2838         0xcc, /* 11001100 */
2839         0x76, /* 01110110 */
2840         0x00, /* 00000000 */
2841         0x00, /* 00000000 */
2842         0x00, /* 00000000 */
2843         0x00, /* 00000000 */
2844
2845         /* 130 0x82 '' */
2846         0x00, /* 00000000 */
2847         0x0c, /* 00001100 */
2848         0x18, /* 00011000 */
2849         0x30, /* 00110000 */
2850         0x00, /* 00000000 */
2851         0x7c, /* 01111100 */
2852         0xc6, /* 11000110 */
2853         0xfe, /* 11111110 */
2854         0xc0, /* 11000000 */
2855         0xc0, /* 11000000 */
2856         0xc6, /* 11000110 */
2857         0x7c, /* 01111100 */
2858         0x00, /* 00000000 */
2859         0x00, /* 00000000 */
2860         0x00, /* 00000000 */
2861         0x00, /* 00000000 */
2862
2863         /* 131 0x83 '' */
2864         0x00, /* 00000000 */
2865         0x10, /* 00010000 */
2866         0x38, /* 00111000 */
2867         0x6c, /* 01101100 */
2868         0x00, /* 00000000 */
2869         0x78, /* 01111000 */
2870         0x0c, /* 00001100 */
2871         0x7c, /* 01111100 */
2872         0xcc, /* 11001100 */
2873         0xcc, /* 11001100 */
2874         0xcc, /* 11001100 */
2875         0x76, /* 01110110 */
2876         0x00, /* 00000000 */
2877         0x00, /* 00000000 */
2878         0x00, /* 00000000 */
2879         0x00, /* 00000000 */
2880
2881         /* 132 0x84 '' */
2882         0x00, /* 00000000 */
2883         0x00, /* 00000000 */
2884         0xcc, /* 11001100 */
2885         0x00, /* 00000000 */
2886         0x00, /* 00000000 */
2887         0x78, /* 01111000 */
2888         0x0c, /* 00001100 */
2889         0x7c, /* 01111100 */
2890         0xcc, /* 11001100 */
2891         0xcc, /* 11001100 */
2892         0xcc, /* 11001100 */
2893         0x76, /* 01110110 */
2894         0x00, /* 00000000 */
2895         0x00, /* 00000000 */
2896         0x00, /* 00000000 */
2897         0x00, /* 00000000 */
2898
2899         /* 133 0x85 '' */
2900         0x00, /* 00000000 */
2901         0x60, /* 01100000 */
2902         0x30, /* 00110000 */
2903         0x18, /* 00011000 */
2904         0x00, /* 00000000 */
2905         0x78, /* 01111000 */
2906         0x0c, /* 00001100 */
2907         0x7c, /* 01111100 */
2908         0xcc, /* 11001100 */
2909         0xcc, /* 11001100 */
2910         0xcc, /* 11001100 */
2911         0x76, /* 01110110 */
2912         0x00, /* 00000000 */
2913         0x00, /* 00000000 */
2914         0x00, /* 00000000 */
2915         0x00, /* 00000000 */
2916
2917         /* 134 0x86 '' */
2918         0x00, /* 00000000 */
2919         0x38, /* 00111000 */
2920         0x6c, /* 01101100 */
2921         0x38, /* 00111000 */
2922         0x00, /* 00000000 */
2923         0x78, /* 01111000 */
2924         0x0c, /* 00001100 */
2925         0x7c, /* 01111100 */
2926         0xcc, /* 11001100 */
2927         0xcc, /* 11001100 */
2928         0xcc, /* 11001100 */
2929         0x76, /* 01110110 */
2930         0x00, /* 00000000 */
2931         0x00, /* 00000000 */
2932         0x00, /* 00000000 */
2933         0x00, /* 00000000 */
2934
2935         /* 135 0x87 '' */
2936         0x00, /* 00000000 */
2937         0x00, /* 00000000 */
2938         0x00, /* 00000000 */
2939         0x00, /* 00000000 */
2940         0x00, /* 00000000 */
2941         0x7c, /* 01111100 */
2942         0xc6, /* 11000110 */
2943         0xc0, /* 11000000 */
2944         0xc0, /* 11000000 */
2945         0xc0, /* 11000000 */
2946         0xc6, /* 11000110 */
2947         0x7c, /* 01111100 */
2948         0x18, /* 00011000 */
2949         0x70, /* 01110000 */
2950         0x00, /* 00000000 */
2951         0x00, /* 00000000 */
2952
2953         /* 136 0x88 '' */
2954         0x00, /* 00000000 */
2955         0x10, /* 00010000 */
2956         0x38, /* 00111000 */
2957         0x6c, /* 01101100 */
2958         0x00, /* 00000000 */
2959         0x7c, /* 01111100 */
2960         0xc6, /* 11000110 */
2961         0xfe, /* 11111110 */
2962         0xc0, /* 11000000 */
2963         0xc0, /* 11000000 */
2964         0xc6, /* 11000110 */
2965         0x7c, /* 01111100 */
2966         0x00, /* 00000000 */
2967         0x00, /* 00000000 */
2968         0x00, /* 00000000 */
2969         0x00, /* 00000000 */
2970
2971         /* 137 0x89 '' */
2972         0x00, /* 00000000 */
2973         0x00, /* 00000000 */
2974         0xc6, /* 11000110 */
2975         0x00, /* 00000000 */
2976         0x00, /* 00000000 */
2977         0x7c, /* 01111100 */
2978         0xc6, /* 11000110 */
2979         0xfe, /* 11111110 */
2980         0xc0, /* 11000000 */
2981         0xc0, /* 11000000 */
2982         0xc6, /* 11000110 */
2983         0x7c, /* 01111100 */
2984         0x00, /* 00000000 */
2985         0x00, /* 00000000 */
2986         0x00, /* 00000000 */
2987         0x00, /* 00000000 */
2988
2989         /* 138 0x8a '' */
2990         0x00, /* 00000000 */
2991         0x60, /* 01100000 */
2992         0x30, /* 00110000 */
2993         0x18, /* 00011000 */
2994         0x00, /* 00000000 */
2995         0x7c, /* 01111100 */
2996         0xc6, /* 11000110 */
2997         0xfe, /* 11111110 */
2998         0xc0, /* 11000000 */
2999         0xc0, /* 11000000 */
3000         0xc6, /* 11000110 */
3001         0x7c, /* 01111100 */
3002         0x00, /* 00000000 */
3003         0x00, /* 00000000 */
3004         0x00, /* 00000000 */
3005         0x00, /* 00000000 */
3006
3007         /* 139 0x8b '' */
3008         0x00, /* 00000000 */
3009         0x00, /* 00000000 */
3010         0x66, /* 01100110 */
3011         0x00, /* 00000000 */
3012         0x00, /* 00000000 */
3013         0x38, /* 00111000 */
3014         0x18, /* 00011000 */
3015         0x18, /* 00011000 */
3016         0x18, /* 00011000 */
3017         0x18, /* 00011000 */
3018         0x18, /* 00011000 */
3019         0x3c, /* 00111100 */
3020         0x00, /* 00000000 */
3021         0x00, /* 00000000 */
3022         0x00, /* 00000000 */
3023         0x00, /* 00000000 */
3024
3025         /* 140 0x8c '' */
3026         0x00, /* 00000000 */
3027         0x18, /* 00011000 */
3028         0x3c, /* 00111100 */
3029         0x66, /* 01100110 */
3030         0x00, /* 00000000 */
3031         0x38, /* 00111000 */
3032         0x18, /* 00011000 */
3033         0x18, /* 00011000 */
3034         0x18, /* 00011000 */
3035         0x18, /* 00011000 */
3036         0x18, /* 00011000 */
3037         0x3c, /* 00111100 */
3038         0x00, /* 00000000 */
3039         0x00, /* 00000000 */
3040         0x00, /* 00000000 */
3041         0x00, /* 00000000 */
3042
3043         /* 141 0x8d '' */
3044         0x00, /* 00000000 */
3045         0x60, /* 01100000 */
3046         0x30, /* 00110000 */
3047         0x18, /* 00011000 */
3048         0x00, /* 00000000 */
3049         0x38, /* 00111000 */
3050         0x18, /* 00011000 */
3051         0x18, /* 00011000 */
3052         0x18, /* 00011000 */
3053         0x18, /* 00011000 */
3054         0x18, /* 00011000 */
3055         0x3c, /* 00111100 */
3056         0x00, /* 00000000 */
3057         0x00, /* 00000000 */
3058         0x00, /* 00000000 */
3059         0x00, /* 00000000 */
3060
3061         /* 142 0x8e '' */
3062         0x00, /* 00000000 */
3063         0xc6, /* 11000110 */
3064         0x00, /* 00000000 */
3065         0x10, /* 00010000 */
3066         0x38, /* 00111000 */
3067         0x6c, /* 01101100 */
3068         0xc6, /* 11000110 */
3069         0xc6, /* 11000110 */
3070         0xfe, /* 11111110 */
3071         0xc6, /* 11000110 */
3072         0xc6, /* 11000110 */
3073         0xc6, /* 11000110 */
3074         0x00, /* 00000000 */
3075         0x00, /* 00000000 */
3076         0x00, /* 00000000 */
3077         0x00, /* 00000000 */
3078
3079         /* 143 0x8f '' */
3080         0x38, /* 00111000 */
3081         0x6c, /* 01101100 */
3082         0x38, /* 00111000 */
3083         0x10, /* 00010000 */
3084         0x38, /* 00111000 */
3085         0x6c, /* 01101100 */
3086         0xc6, /* 11000110 */
3087         0xfe, /* 11111110 */
3088         0xc6, /* 11000110 */
3089         0xc6, /* 11000110 */
3090         0xc6, /* 11000110 */
3091         0xc6, /* 11000110 */
3092         0x00, /* 00000000 */
3093         0x00, /* 00000000 */
3094         0x00, /* 00000000 */
3095         0x00, /* 00000000 */
3096
3097         /* 144 0x90 '' */
3098         0x0c, /* 00001100 */
3099         0x18, /* 00011000 */
3100         0x00, /* 00000000 */
3101         0xfe, /* 11111110 */
3102         0x66, /* 01100110 */
3103         0x62, /* 01100010 */
3104         0x68, /* 01101000 */
3105         0x78, /* 01111000 */
3106         0x68, /* 01101000 */
3107         0x62, /* 01100010 */
3108         0x66, /* 01100110 */
3109         0xfe, /* 11111110 */
3110         0x00, /* 00000000 */
3111         0x00, /* 00000000 */
3112         0x00, /* 00000000 */
3113         0x00, /* 00000000 */
3114
3115         /* 145 0x91 '' */
3116         0x00, /* 00000000 */
3117         0x00, /* 00000000 */
3118         0x00, /* 00000000 */
3119         0x00, /* 00000000 */
3120         0x00, /* 00000000 */
3121         0xec, /* 11101100 */
3122         0x36, /* 00110110 */
3123         0x36, /* 00110110 */
3124         0x7e, /* 01111110 */
3125         0xd8, /* 11011000 */
3126         0xd8, /* 11011000 */
3127         0x6e, /* 01101110 */
3128         0x00, /* 00000000 */
3129         0x00, /* 00000000 */
3130         0x00, /* 00000000 */
3131         0x00, /* 00000000 */
3132
3133         /* 146 0x92 '' */
3134         0x00, /* 00000000 */
3135         0x00, /* 00000000 */
3136         0x3e, /* 00111110 */
3137         0x6c, /* 01101100 */
3138         0xcc, /* 11001100 */
3139         0xcc, /* 11001100 */
3140         0xfe, /* 11111110 */
3141         0xcc, /* 11001100 */
3142         0xcc, /* 11001100 */
3143         0xcc, /* 11001100 */
3144         0xcc, /* 11001100 */
3145         0xce, /* 11001110 */
3146         0x00, /* 00000000 */
3147         0x00, /* 00000000 */
3148         0x00, /* 00000000 */
3149         0x00, /* 00000000 */
3150
3151         /* 147 0x93 '' */
3152         0x00, /* 00000000 */
3153         0x10, /* 00010000 */
3154         0x38, /* 00111000 */
3155         0x6c, /* 01101100 */
3156         0x00, /* 00000000 */
3157         0x7c, /* 01111100 */
3158         0xc6, /* 11000110 */
3159         0xc6, /* 11000110 */
3160         0xc6, /* 11000110 */
3161         0xc6, /* 11000110 */
3162         0xc6, /* 11000110 */
3163         0x7c, /* 01111100 */
3164         0x00, /* 00000000 */
3165         0x00, /* 00000000 */
3166         0x00, /* 00000000 */
3167         0x00, /* 00000000 */
3168
3169         /* 148 0x94 '' */
3170         0x00, /* 00000000 */
3171         0x00, /* 00000000 */
3172         0xc6, /* 11000110 */
3173         0x00, /* 00000000 */
3174         0x00, /* 00000000 */
3175         0x7c, /* 01111100 */
3176         0xc6, /* 11000110 */
3177         0xc6, /* 11000110 */
3178         0xc6, /* 11000110 */
3179         0xc6, /* 11000110 */
3180         0xc6, /* 11000110 */
3181         0x7c, /* 01111100 */
3182         0x00, /* 00000000 */
3183         0x00, /* 00000000 */
3184         0x00, /* 00000000 */
3185         0x00, /* 00000000 */
3186
3187         /* 149 0x95 '' */
3188         0x00, /* 00000000 */
3189         0x60, /* 01100000 */
3190         0x30, /* 00110000 */
3191         0x18, /* 00011000 */
3192         0x00, /* 00000000 */
3193         0x7c, /* 01111100 */
3194         0xc6, /* 11000110 */
3195         0xc6, /* 11000110 */
3196         0xc6, /* 11000110 */
3197         0xc6, /* 11000110 */
3198         0xc6, /* 11000110 */
3199         0x7c, /* 01111100 */
3200         0x00, /* 00000000 */
3201         0x00, /* 00000000 */
3202         0x00, /* 00000000 */
3203         0x00, /* 00000000 */
3204
3205         /* 150 0x96 '' */
3206         0x00, /* 00000000 */
3207         0x30, /* 00110000 */
3208         0x78, /* 01111000 */
3209         0xcc, /* 11001100 */
3210         0x00, /* 00000000 */
3211         0xcc, /* 11001100 */
3212         0xcc, /* 11001100 */
3213         0xcc, /* 11001100 */
3214         0xcc, /* 11001100 */
3215         0xcc, /* 11001100 */
3216         0xcc, /* 11001100 */
3217         0x76, /* 01110110 */
3218         0x00, /* 00000000 */
3219         0x00, /* 00000000 */
3220         0x00, /* 00000000 */
3221         0x00, /* 00000000 */
3222
3223         /* 151 0x97 '' */
3224         0x00, /* 00000000 */
3225         0x60, /* 01100000 */
3226         0x30, /* 00110000 */
3227         0x18, /* 00011000 */
3228         0x00, /* 00000000 */
3229         0xcc, /* 11001100 */
3230         0xcc, /* 11001100 */
3231         0xcc, /* 11001100 */
3232         0xcc, /* 11001100 */
3233         0xcc, /* 11001100 */
3234         0xcc, /* 11001100 */
3235         0x76, /* 01110110 */
3236         0x00, /* 00000000 */
3237         0x00, /* 00000000 */
3238         0x00, /* 00000000 */
3239         0x00, /* 00000000 */
3240
3241         /* 152 0x98 '' */
3242         0x00, /* 00000000 */
3243         0x00, /* 00000000 */
3244         0xc6, /* 11000110 */
3245         0x00, /* 00000000 */
3246         0x00, /* 00000000 */
3247         0xc6, /* 11000110 */
3248         0xc6, /* 11000110 */
3249         0xc6, /* 11000110 */
3250         0xc6, /* 11000110 */
3251         0xc6, /* 11000110 */
3252         0xc6, /* 11000110 */
3253         0x7e, /* 01111110 */
3254         0x06, /* 00000110 */
3255         0x0c, /* 00001100 */
3256         0x78, /* 01111000 */
3257         0x00, /* 00000000 */
3258
3259         /* 153 0x99 '' */
3260         0x00, /* 00000000 */
3261         0xc6, /* 11000110 */
3262         0x00, /* 00000000 */
3263         0x7c, /* 01111100 */
3264         0xc6, /* 11000110 */
3265         0xc6, /* 11000110 */
3266         0xc6, /* 11000110 */
3267         0xc6, /* 11000110 */
3268         0xc6, /* 11000110 */
3269         0xc6, /* 11000110 */
3270         0xc6, /* 11000110 */
3271         0x7c, /* 01111100 */
3272         0x00, /* 00000000 */
3273         0x00, /* 00000000 */
3274         0x00, /* 00000000 */
3275         0x00, /* 00000000 */
3276
3277         /* 154 0x9a '' */
3278         0x00, /* 00000000 */
3279         0xc6, /* 11000110 */
3280         0x00, /* 00000000 */
3281         0xc6, /* 11000110 */
3282         0xc6, /* 11000110 */
3283         0xc6, /* 11000110 */
3284         0xc6, /* 11000110 */
3285         0xc6, /* 11000110 */
3286         0xc6, /* 11000110 */
3287         0xc6, /* 11000110 */
3288         0xc6, /* 11000110 */
3289         0x7c, /* 01111100 */
3290         0x00, /* 00000000 */
3291         0x00, /* 00000000 */
3292         0x00, /* 00000000 */
3293         0x00, /* 00000000 */
3294
3295         /* 155 0x9b '' */
3296         0x00, /* 00000000 */
3297         0x18, /* 00011000 */
3298         0x18, /* 00011000 */
3299         0x7c, /* 01111100 */
3300         0xc6, /* 11000110 */
3301         0xc0, /* 11000000 */
3302         0xc0, /* 11000000 */
3303         0xc0, /* 11000000 */
3304         0xc6, /* 11000110 */
3305         0x7c, /* 01111100 */
3306         0x18, /* 00011000 */
3307         0x18, /* 00011000 */
3308         0x00, /* 00000000 */
3309         0x00, /* 00000000 */
3310         0x00, /* 00000000 */
3311         0x00, /* 00000000 */
3312
3313         /* 156 0x9c '' */
3314         0x00, /* 00000000 */
3315         0x38, /* 00111000 */
3316         0x6c, /* 01101100 */
3317         0x64, /* 01100100 */
3318         0x60, /* 01100000 */
3319         0xf0, /* 11110000 */
3320         0x60, /* 01100000 */
3321         0x60, /* 01100000 */
3322         0x60, /* 01100000 */
3323         0x60, /* 01100000 */
3324         0xe6, /* 11100110 */
3325         0xfc, /* 11111100 */
3326         0x00, /* 00000000 */
3327         0x00, /* 00000000 */
3328         0x00, /* 00000000 */
3329         0x00, /* 00000000 */
3330
3331         /* 157 0x9d '' */
3332         0x00, /* 00000000 */
3333         0x00, /* 00000000 */
3334         0x66, /* 01100110 */
3335         0x66, /* 01100110 */
3336         0x3c, /* 00111100 */
3337         0x18, /* 00011000 */
3338         0x7e, /* 01111110 */
3339         0x18, /* 00011000 */
3340         0x7e, /* 01111110 */
3341         0x18, /* 00011000 */
3342         0x18, /* 00011000 */
3343         0x18, /* 00011000 */
3344         0x00, /* 00000000 */
3345         0x00, /* 00000000 */
3346         0x00, /* 00000000 */
3347         0x00, /* 00000000 */
3348
3349         /* 158 0x9e '' */
3350         0x00, /* 00000000 */
3351         0xf8, /* 11111000 */
3352         0xcc, /* 11001100 */
3353         0xcc, /* 11001100 */
3354         0xf8, /* 11111000 */
3355         0xc4, /* 11000100 */
3356         0xcc, /* 11001100 */
3357         0xde, /* 11011110 */
3358         0xcc, /* 11001100 */
3359         0xcc, /* 11001100 */
3360         0xcc, /* 11001100 */
3361         0xc6, /* 11000110 */
3362         0x00, /* 00000000 */
3363         0x00, /* 00000000 */
3364         0x00, /* 00000000 */
3365         0x00, /* 00000000 */
3366
3367         /* 159 0x9f '' */
3368         0x00, /* 00000000 */
3369         0x0e, /* 00001110 */
3370         0x1b, /* 00011011 */
3371         0x18, /* 00011000 */
3372         0x18, /* 00011000 */
3373         0x18, /* 00011000 */
3374         0x7e, /* 01111110 */
3375         0x18, /* 00011000 */
3376         0x18, /* 00011000 */
3377         0x18, /* 00011000 */
3378         0xd8, /* 11011000 */
3379         0x70, /* 01110000 */
3380         0x00, /* 00000000 */
3381         0x00, /* 00000000 */
3382         0x00, /* 00000000 */
3383         0x00, /* 00000000 */
3384
3385         /* 160 0xa0 ' ' */
3386         0x00, /* 00000000 */
3387         0x18, /* 00011000 */
3388         0x30, /* 00110000 */
3389         0x60, /* 01100000 */
3390         0x00, /* 00000000 */
3391         0x78, /* 01111000 */
3392         0x0c, /* 00001100 */
3393         0x7c, /* 01111100 */
3394         0xcc, /* 11001100 */
3395         0xcc, /* 11001100 */
3396         0xcc, /* 11001100 */
3397         0x76, /* 01110110 */
3398         0x00, /* 00000000 */
3399         0x00, /* 00000000 */
3400         0x00, /* 00000000 */
3401         0x00, /* 00000000 */
3402
3403         /* 161 0xa1 '¡' */
3404         0x00, /* 00000000 */
3405         0x0c, /* 00001100 */
3406         0x18, /* 00011000 */
3407         0x30, /* 00110000 */
3408         0x00, /* 00000000 */
3409         0x38, /* 00111000 */
3410         0x18, /* 00011000 */
3411         0x18, /* 00011000 */
3412         0x18, /* 00011000 */
3413         0x18, /* 00011000 */
3414         0x18, /* 00011000 */
3415         0x3c, /* 00111100 */
3416         0x00, /* 00000000 */
3417         0x00, /* 00000000 */
3418         0x00, /* 00000000 */
3419         0x00, /* 00000000 */
3420
3421         /* 162 0xa2 '¢' */
3422         0x00, /* 00000000 */
3423         0x18, /* 00011000 */
3424         0x30, /* 00110000 */
3425         0x60, /* 01100000 */
3426         0x00, /* 00000000 */
3427         0x7c, /* 01111100 */
3428         0xc6, /* 11000110 */
3429         0xc6, /* 11000110 */
3430         0xc6, /* 11000110 */
3431         0xc6, /* 11000110 */
3432         0xc6, /* 11000110 */
3433         0x7c, /* 01111100 */
3434         0x00, /* 00000000 */
3435         0x00, /* 00000000 */
3436         0x00, /* 00000000 */
3437         0x00, /* 00000000 */
3438
3439         /* 163 0xa3 '£' */
3440         0x00, /* 00000000 */
3441         0x18, /* 00011000 */
3442         0x30, /* 00110000 */
3443         0x60, /* 01100000 */
3444         0x00, /* 00000000 */
3445         0xcc, /* 11001100 */
3446         0xcc, /* 11001100 */
3447         0xcc, /* 11001100 */
3448         0xcc, /* 11001100 */
3449         0xcc, /* 11001100 */
3450         0xcc, /* 11001100 */
3451         0x76, /* 01110110 */
3452         0x00, /* 00000000 */
3453         0x00, /* 00000000 */
3454         0x00, /* 00000000 */
3455         0x00, /* 00000000 */
3456
3457         /* 164 0xa4 '¤' */
3458         0x00, /* 00000000 */
3459         0x00, /* 00000000 */
3460         0x76, /* 01110110 */
3461         0xdc, /* 11011100 */
3462         0x00, /* 00000000 */
3463         0xdc, /* 11011100 */
3464         0x66, /* 01100110 */
3465         0x66, /* 01100110 */
3466         0x66, /* 01100110 */
3467         0x66, /* 01100110 */
3468         0x66, /* 01100110 */
3469         0x66, /* 01100110 */
3470         0x00, /* 00000000 */
3471         0x00, /* 00000000 */
3472         0x00, /* 00000000 */
3473         0x00, /* 00000000 */
3474
3475         /* 165 0xa5 '¥' */
3476         0x76, /* 01110110 */
3477         0xdc, /* 11011100 */
3478         0x00, /* 00000000 */
3479         0xc6, /* 11000110 */
3480         0xe6, /* 11100110 */
3481         0xf6, /* 11110110 */
3482         0xfe, /* 11111110 */
3483         0xde, /* 11011110 */
3484         0xce, /* 11001110 */
3485         0xc6, /* 11000110 */
3486         0xc6, /* 11000110 */
3487         0xc6, /* 11000110 */
3488         0x00, /* 00000000 */
3489         0x00, /* 00000000 */
3490         0x00, /* 00000000 */
3491         0x00, /* 00000000 */
3492
3493         /* 166 0xa6 '¦' */
3494         0x00, /* 00000000 */
3495         0x00, /* 00000000 */
3496         0x3c, /* 00111100 */
3497         0x6c, /* 01101100 */
3498         0x6c, /* 01101100 */
3499         0x3e, /* 00111110 */
3500         0x00, /* 00000000 */
3501         0x7e, /* 01111110 */
3502         0x00, /* 00000000 */
3503         0x00, /* 00000000 */
3504         0x00, /* 00000000 */
3505         0x00, /* 00000000 */
3506         0x00, /* 00000000 */
3507         0x00, /* 00000000 */
3508         0x00, /* 00000000 */
3509         0x00, /* 00000000 */
3510
3511         /* 167 0xa7 '§' */
3512         0x00, /* 00000000 */
3513         0x00, /* 00000000 */
3514         0x38, /* 00111000 */
3515         0x6c, /* 01101100 */
3516         0x6c, /* 01101100 */
3517         0x38, /* 00111000 */
3518         0x00, /* 00000000 */
3519         0x7c, /* 01111100 */
3520         0x00, /* 00000000 */
3521         0x00, /* 00000000 */
3522         0x00, /* 00000000 */
3523         0x00, /* 00000000 */
3524         0x00, /* 00000000 */
3525         0x00, /* 00000000 */
3526         0x00, /* 00000000 */
3527         0x00, /* 00000000 */
3528
3529         /* 168 0xa8 '¨' */
3530         0x00, /* 00000000 */
3531         0x00, /* 00000000 */
3532         0x30, /* 00110000 */
3533         0x30, /* 00110000 */
3534         0x00, /* 00000000 */
3535         0x30, /* 00110000 */
3536         0x30, /* 00110000 */
3537         0x60, /* 01100000 */
3538         0xc0, /* 11000000 */
3539         0xc6, /* 11000110 */
3540         0xc6, /* 11000110 */
3541         0x7c, /* 01111100 */
3542         0x00, /* 00000000 */
3543         0x00, /* 00000000 */
3544         0x00, /* 00000000 */
3545         0x00, /* 00000000 */
3546
3547         /* 169 0xa9 '©' */
3548         0x00, /* 00000000 */
3549         0x00, /* 00000000 */
3550         0x00, /* 00000000 */
3551         0x00, /* 00000000 */
3552         0x00, /* 00000000 */
3553         0x00, /* 00000000 */
3554         0xfe, /* 11111110 */
3555         0xc0, /* 11000000 */
3556         0xc0, /* 11000000 */
3557         0xc0, /* 11000000 */
3558         0xc0, /* 11000000 */
3559         0x00, /* 00000000 */
3560         0x00, /* 00000000 */
3561         0x00, /* 00000000 */
3562         0x00, /* 00000000 */
3563         0x00, /* 00000000 */
3564
3565         /* 170 0xaa 'ª' */
3566         0x00, /* 00000000 */
3567         0x00, /* 00000000 */
3568         0x00, /* 00000000 */
3569         0x00, /* 00000000 */
3570         0x00, /* 00000000 */
3571         0x00, /* 00000000 */
3572         0xfe, /* 11111110 */
3573         0x06, /* 00000110 */
3574         0x06, /* 00000110 */
3575         0x06, /* 00000110 */
3576         0x06, /* 00000110 */
3577         0x00, /* 00000000 */
3578         0x00, /* 00000000 */
3579         0x00, /* 00000000 */
3580         0x00, /* 00000000 */
3581         0x00, /* 00000000 */
3582
3583         /* 171 0xab '«' */
3584         0x00, /* 00000000 */
3585         0x60, /* 01100000 */
3586         0xe0, /* 11100000 */
3587         0x62, /* 01100010 */
3588         0x66, /* 01100110 */
3589         0x6c, /* 01101100 */
3590         0x18, /* 00011000 */
3591         0x30, /* 00110000 */
3592         0x60, /* 01100000 */
3593         0xdc, /* 11011100 */
3594         0x86, /* 10000110 */
3595         0x0c, /* 00001100 */
3596         0x18, /* 00011000 */
3597         0x3e, /* 00111110 */
3598         0x00, /* 00000000 */
3599         0x00, /* 00000000 */
3600
3601         /* 172 0xac '¬' */
3602         0x00, /* 00000000 */
3603         0x60, /* 01100000 */
3604         0xe0, /* 11100000 */
3605         0x62, /* 01100010 */
3606         0x66, /* 01100110 */
3607         0x6c, /* 01101100 */
3608         0x18, /* 00011000 */
3609         0x30, /* 00110000 */
3610         0x66, /* 01100110 */
3611         0xce, /* 11001110 */
3612         0x9a, /* 10011010 */
3613         0x3f, /* 00111111 */
3614         0x06, /* 00000110 */
3615         0x06, /* 00000110 */
3616         0x00, /* 00000000 */
3617         0x00, /* 00000000 */
3618
3619         /* 173 0xad '­' */
3620         0x00, /* 00000000 */
3621         0x00, /* 00000000 */
3622         0x18, /* 00011000 */
3623         0x18, /* 00011000 */
3624         0x00, /* 00000000 */
3625         0x18, /* 00011000 */
3626         0x18, /* 00011000 */
3627         0x18, /* 00011000 */
3628         0x3c, /* 00111100 */
3629         0x3c, /* 00111100 */
3630         0x3c, /* 00111100 */
3631         0x18, /* 00011000 */
3632         0x00, /* 00000000 */
3633         0x00, /* 00000000 */
3634         0x00, /* 00000000 */
3635         0x00, /* 00000000 */
3636
3637         /* 174 0xae '®' */
3638         0x00, /* 00000000 */
3639         0x00, /* 00000000 */
3640         0x00, /* 00000000 */
3641         0x00, /* 00000000 */
3642         0x00, /* 00000000 */
3643         0x36, /* 00110110 */
3644         0x6c, /* 01101100 */
3645         0xd8, /* 11011000 */
3646         0x6c, /* 01101100 */
3647         0x36, /* 00110110 */
3648         0x00, /* 00000000 */
3649         0x00, /* 00000000 */
3650         0x00, /* 00000000 */
3651         0x00, /* 00000000 */
3652         0x00, /* 00000000 */
3653         0x00, /* 00000000 */
3654
3655         /* 175 0xaf '¯' */
3656         0x00, /* 00000000 */
3657         0x00, /* 00000000 */
3658         0x00, /* 00000000 */
3659         0x00, /* 00000000 */
3660         0x00, /* 00000000 */
3661         0xd8, /* 11011000 */
3662         0x6c, /* 01101100 */
3663         0x36, /* 00110110 */
3664         0x6c, /* 01101100 */
3665         0xd8, /* 11011000 */
3666         0x00, /* 00000000 */
3667         0x00, /* 00000000 */
3668         0x00, /* 00000000 */
3669         0x00, /* 00000000 */
3670         0x00, /* 00000000 */
3671         0x00, /* 00000000 */
3672
3673         /* 176 0xb0 '°' */
3674         0x11, /* 00010001 */
3675         0x44, /* 01000100 */
3676         0x11, /* 00010001 */
3677         0x44, /* 01000100 */
3678         0x11, /* 00010001 */
3679         0x44, /* 01000100 */
3680         0x11, /* 00010001 */
3681         0x44, /* 01000100 */
3682         0x11, /* 00010001 */
3683         0x44, /* 01000100 */
3684         0x11, /* 00010001 */
3685         0x44, /* 01000100 */
3686         0x11, /* 00010001 */
3687         0x44, /* 01000100 */
3688         0x11, /* 00010001 */
3689         0x44, /* 01000100 */
3690
3691         /* 177 0xb1 '±' */
3692         0x55, /* 01010101 */
3693         0xaa, /* 10101010 */
3694         0x55, /* 01010101 */
3695         0xaa, /* 10101010 */
3696         0x55, /* 01010101 */
3697         0xaa, /* 10101010 */
3698         0x55, /* 01010101 */
3699         0xaa, /* 10101010 */
3700         0x55, /* 01010101 */
3701         0xaa, /* 10101010 */
3702         0x55, /* 01010101 */
3703         0xaa, /* 10101010 */
3704         0x55, /* 01010101 */
3705         0xaa, /* 10101010 */
3706         0x55, /* 01010101 */
3707         0xaa, /* 10101010 */
3708
3709         /* 178 0xb2 '²' */
3710         0xdd, /* 11011101 */
3711         0x77, /* 01110111 */
3712         0xdd, /* 11011101 */
3713         0x77, /* 01110111 */
3714         0xdd, /* 11011101 */
3715         0x77, /* 01110111 */
3716         0xdd, /* 11011101 */
3717         0x77, /* 01110111 */
3718         0xdd, /* 11011101 */
3719         0x77, /* 01110111 */
3720         0xdd, /* 11011101 */
3721         0x77, /* 01110111 */
3722         0xdd, /* 11011101 */
3723         0x77, /* 01110111 */
3724         0xdd, /* 11011101 */
3725         0x77, /* 01110111 */
3726
3727         /* 179 0xb3 '³' */
3728         0x18, /* 00011000 */
3729         0x18, /* 00011000 */
3730         0x18, /* 00011000 */
3731         0x18, /* 00011000 */
3732         0x18, /* 00011000 */
3733         0x18, /* 00011000 */
3734         0x18, /* 00011000 */
3735         0x18, /* 00011000 */
3736         0x18, /* 00011000 */
3737         0x18, /* 00011000 */
3738         0x18, /* 00011000 */
3739         0x18, /* 00011000 */
3740         0x18, /* 00011000 */
3741         0x18, /* 00011000 */
3742         0x18, /* 00011000 */
3743         0x18, /* 00011000 */
3744
3745         /* 180 0xb4 '´' */
3746         0x18, /* 00011000 */
3747         0x18, /* 00011000 */
3748         0x18, /* 00011000 */
3749         0x18, /* 00011000 */
3750         0x18, /* 00011000 */
3751         0x18, /* 00011000 */
3752         0x18, /* 00011000 */
3753         0xf8, /* 11111000 */
3754         0x18, /* 00011000 */
3755         0x18, /* 00011000 */
3756         0x18, /* 00011000 */
3757         0x18, /* 00011000 */
3758         0x18, /* 00011000 */
3759         0x18, /* 00011000 */
3760         0x18, /* 00011000 */
3761         0x18, /* 00011000 */
3762
3763         /* 181 0xb5 'µ' */
3764         0x18, /* 00011000 */
3765         0x18, /* 00011000 */
3766         0x18, /* 00011000 */
3767         0x18, /* 00011000 */
3768         0x18, /* 00011000 */
3769         0xf8, /* 11111000 */
3770         0x18, /* 00011000 */
3771         0xf8, /* 11111000 */
3772         0x18, /* 00011000 */
3773         0x18, /* 00011000 */
3774         0x18, /* 00011000 */
3775         0x18, /* 00011000 */
3776         0x18, /* 00011000 */
3777         0x18, /* 00011000 */
3778         0x18, /* 00011000 */
3779         0x18, /* 00011000 */
3780
3781         /* 182 0xb6 '¶' */
3782         0x36, /* 00110110 */
3783         0x36, /* 00110110 */
3784         0x36, /* 00110110 */
3785         0x36, /* 00110110 */
3786         0x36, /* 00110110 */
3787         0x36, /* 00110110 */
3788         0x36, /* 00110110 */
3789         0xf6, /* 11110110 */
3790         0x36, /* 00110110 */
3791         0x36, /* 00110110 */
3792         0x36, /* 00110110 */
3793         0x36, /* 00110110 */
3794         0x36, /* 00110110 */
3795         0x36, /* 00110110 */
3796         0x36, /* 00110110 */
3797         0x36, /* 00110110 */
3798
3799         /* 183 0xb7 '·' */
3800         0x00, /* 00000000 */
3801         0x00, /* 00000000 */
3802         0x00, /* 00000000 */
3803         0x00, /* 00000000 */
3804         0x00, /* 00000000 */
3805         0x00, /* 00000000 */
3806         0x00, /* 00000000 */
3807         0xfe, /* 11111110 */
3808         0x36, /* 00110110 */
3809         0x36, /* 00110110 */
3810         0x36, /* 00110110 */
3811         0x36, /* 00110110 */
3812         0x36, /* 00110110 */
3813         0x36, /* 00110110 */
3814         0x36, /* 00110110 */
3815         0x36, /* 00110110 */
3816
3817         /* 184 0xb8 '¸' */
3818         0x00, /* 00000000 */
3819         0x00, /* 00000000 */
3820         0x00, /* 00000000 */
3821         0x00, /* 00000000 */
3822         0x00, /* 00000000 */
3823         0xf8, /* 11111000 */
3824         0x18, /* 00011000 */
3825         0xf8, /* 11111000 */
3826         0x18, /* 00011000 */
3827         0x18, /* 00011000 */
3828         0x18, /* 00011000 */
3829         0x18, /* 00011000 */
3830         0x18, /* 00011000 */
3831         0x18, /* 00011000 */
3832         0x18, /* 00011000 */
3833         0x18, /* 00011000 */
3834
3835         /* 185 0xb9 '¹' */
3836         0x36, /* 00110110 */
3837         0x36, /* 00110110 */
3838         0x36, /* 00110110 */
3839         0x36, /* 00110110 */
3840         0x36, /* 00110110 */
3841         0xf6, /* 11110110 */
3842         0x06, /* 00000110 */
3843         0xf6, /* 11110110 */
3844         0x36, /* 00110110 */
3845         0x36, /* 00110110 */
3846         0x36, /* 00110110 */
3847         0x36, /* 00110110 */
3848         0x36, /* 00110110 */
3849         0x36, /* 00110110 */
3850         0x36, /* 00110110 */
3851         0x36, /* 00110110 */
3852
3853         /* 186 0xba 'º' */
3854         0x36, /* 00110110 */
3855         0x36, /* 00110110 */
3856         0x36, /* 00110110 */
3857         0x36, /* 00110110 */
3858         0x36, /* 00110110 */
3859         0x36, /* 00110110 */
3860         0x36, /* 00110110 */
3861         0x36, /* 00110110 */
3862         0x36, /* 00110110 */
3863         0x36, /* 00110110 */
3864         0x36, /* 00110110 */
3865         0x36, /* 00110110 */
3866         0x36, /* 00110110 */
3867         0x36, /* 00110110 */
3868         0x36, /* 00110110 */
3869         0x36, /* 00110110 */
3870
3871         /* 187 0xbb '»' */
3872         0x00, /* 00000000 */
3873         0x00, /* 00000000 */
3874         0x00, /* 00000000 */
3875         0x00, /* 00000000 */
3876         0x00, /* 00000000 */
3877         0xfe, /* 11111110 */
3878         0x06, /* 00000110 */
3879         0xf6, /* 11110110 */
3880         0x36, /* 00110110 */
3881         0x36, /* 00110110 */
3882         0x36, /* 00110110 */
3883         0x36, /* 00110110 */
3884         0x36, /* 00110110 */
3885         0x36, /* 00110110 */
3886         0x36, /* 00110110 */
3887         0x36, /* 00110110 */
3888
3889         /* 188 0xbc '¼' */
3890         0x36, /* 00110110 */
3891         0x36, /* 00110110 */
3892         0x36, /* 00110110 */
3893         0x36, /* 00110110 */
3894         0x36, /* 00110110 */
3895         0xf6, /* 11110110 */
3896         0x06, /* 00000110 */
3897         0xfe, /* 11111110 */
3898         0x00, /* 00000000 */
3899         0x00, /* 00000000 */
3900         0x00, /* 00000000 */
3901         0x00, /* 00000000 */
3902         0x00, /* 00000000 */
3903         0x00, /* 00000000 */
3904         0x00, /* 00000000 */
3905         0x00, /* 00000000 */
3906
3907         /* 189 0xbd '½' */
3908         0x36, /* 00110110 */
3909         0x36, /* 00110110 */
3910         0x36, /* 00110110 */
3911         0x36, /* 00110110 */
3912         0x36, /* 00110110 */
3913         0x36, /* 00110110 */
3914         0x36, /* 00110110 */
3915         0xfe, /* 11111110 */
3916         0x00, /* 00000000 */
3917         0x00, /* 00000000 */
3918         0x00, /* 00000000 */
3919         0x00, /* 00000000 */
3920         0x00, /* 00000000 */
3921         0x00, /* 00000000 */
3922         0x00, /* 00000000 */
3923         0x00, /* 00000000 */
3924
3925         /* 190 0xbe '¾' */
3926         0x18, /* 00011000 */
3927         0x18, /* 00011000 */
3928         0x18, /* 00011000 */
3929         0x18, /* 00011000 */
3930         0x18, /* 00011000 */
3931         0xf8, /* 11111000 */
3932         0x18, /* 00011000 */
3933         0xf8, /* 11111000 */
3934         0x00, /* 00000000 */
3935         0x00, /* 00000000 */
3936         0x00, /* 00000000 */
3937         0x00, /* 00000000 */
3938         0x00, /* 00000000 */
3939         0x00, /* 00000000 */
3940         0x00, /* 00000000 */
3941         0x00, /* 00000000 */
3942
3943         /* 191 0xbf '¿' */
3944         0x00, /* 00000000 */
3945         0x00, /* 00000000 */
3946         0x00, /* 00000000 */
3947         0x00, /* 00000000 */
3948         0x00, /* 00000000 */
3949         0x00, /* 00000000 */
3950         0x00, /* 00000000 */
3951         0xf8, /* 11111000 */
3952         0x18, /* 00011000 */
3953         0x18, /* 00011000 */
3954         0x18, /* 00011000 */
3955         0x18, /* 00011000 */
3956         0x18, /* 00011000 */
3957         0x18, /* 00011000 */
3958         0x18, /* 00011000 */
3959         0x18, /* 00011000 */
3960
3961         /* 192 0xc0 'À' */
3962         0x18, /* 00011000 */
3963         0x18, /* 00011000 */
3964         0x18, /* 00011000 */
3965         0x18, /* 00011000 */
3966         0x18, /* 00011000 */
3967         0x18, /* 00011000 */
3968         0x18, /* 00011000 */
3969         0x1f, /* 00011111 */
3970         0x00, /* 00000000 */
3971         0x00, /* 00000000 */
3972         0x00, /* 00000000 */
3973         0x00, /* 00000000 */
3974         0x00, /* 00000000 */
3975         0x00, /* 00000000 */
3976         0x00, /* 00000000 */
3977         0x00, /* 00000000 */
3978
3979         /* 193 0xc1 'Á' */
3980         0x18, /* 00011000 */
3981         0x18, /* 00011000 */
3982         0x18, /* 00011000 */
3983         0x18, /* 00011000 */
3984         0x18, /* 00011000 */
3985         0x18, /* 00011000 */
3986         0x18, /* 00011000 */
3987         0xff, /* 11111111 */
3988         0x00, /* 00000000 */
3989         0x00, /* 00000000 */
3990         0x00, /* 00000000 */
3991         0x00, /* 00000000 */
3992         0x00, /* 00000000 */
3993         0x00, /* 00000000 */
3994         0x00, /* 00000000 */
3995         0x00, /* 00000000 */
3996
3997         /* 194 0xc2 'Â' */
3998         0x00, /* 00000000 */
3999         0x00, /* 00000000 */
4000         0x00, /* 00000000 */
4001         0x00, /* 00000000 */
4002         0x00, /* 00000000 */
4003         0x00, /* 00000000 */
4004         0x00, /* 00000000 */
4005         0xff, /* 11111111 */
4006         0x18, /* 00011000 */
4007         0x18, /* 00011000 */
4008         0x18, /* 00011000 */
4009         0x18, /* 00011000 */
4010         0x18, /* 00011000 */
4011         0x18, /* 00011000 */
4012         0x18, /* 00011000 */
4013         0x18, /* 00011000 */
4014
4015         /* 195 0xc3 'Ã' */
4016         0x18, /* 00011000 */
4017         0x18, /* 00011000 */
4018         0x18, /* 00011000 */
4019         0x18, /* 00011000 */
4020         0x18, /* 00011000 */
4021         0x18, /* 00011000 */
4022         0x18, /* 00011000 */
4023         0x1f, /* 00011111 */
4024         0x18, /* 00011000 */
4025         0x18, /* 00011000 */
4026         0x18, /* 00011000 */
4027         0x18, /* 00011000 */
4028         0x18, /* 00011000 */
4029         0x18, /* 00011000 */
4030         0x18, /* 00011000 */
4031         0x18, /* 00011000 */
4032
4033         /* 196 0xc4 'Ä' */
4034         0x00, /* 00000000 */
4035         0x00, /* 00000000 */
4036         0x00, /* 00000000 */
4037         0x00, /* 00000000 */
4038         0x00, /* 00000000 */
4039         0x00, /* 00000000 */
4040         0x00, /* 00000000 */
4041         0xff, /* 11111111 */
4042         0x00, /* 00000000 */
4043         0x00, /* 00000000 */
4044         0x00, /* 00000000 */
4045         0x00, /* 00000000 */
4046         0x00, /* 00000000 */
4047         0x00, /* 00000000 */
4048         0x00, /* 00000000 */
4049         0x00, /* 00000000 */
4050
4051         /* 197 0xc5 'Å' */
4052         0x18, /* 00011000 */
4053         0x18, /* 00011000 */
4054         0x18, /* 00011000 */
4055         0x18, /* 00011000 */
4056         0x18, /* 00011000 */
4057         0x18, /* 00011000 */
4058         0x18, /* 00011000 */
4059         0xff, /* 11111111 */
4060         0x18, /* 00011000 */
4061         0x18, /* 00011000 */
4062         0x18, /* 00011000 */
4063         0x18, /* 00011000 */
4064         0x18, /* 00011000 */
4065         0x18, /* 00011000 */
4066         0x18, /* 00011000 */
4067         0x18, /* 00011000 */
4068
4069         /* 198 0xc6 'Æ' */
4070         0x18, /* 00011000 */
4071         0x18, /* 00011000 */
4072         0x18, /* 00011000 */
4073         0x18, /* 00011000 */
4074         0x18, /* 00011000 */
4075         0x1f, /* 00011111 */
4076         0x18, /* 00011000 */
4077         0x1f, /* 00011111 */
4078         0x18, /* 00011000 */
4079         0x18, /* 00011000 */
4080         0x18, /* 00011000 */
4081         0x18, /* 00011000 */
4082         0x18, /* 00011000 */
4083         0x18, /* 00011000 */
4084         0x18, /* 00011000 */
4085         0x18, /* 00011000 */
4086
4087         /* 199 0xc7 'Ç' */
4088         0x36, /* 00110110 */
4089         0x36, /* 00110110 */
4090         0x36, /* 00110110 */
4091         0x36, /* 00110110 */
4092         0x36, /* 00110110 */
4093         0x36, /* 00110110 */
4094         0x36, /* 00110110 */
4095         0x37, /* 00110111 */
4096         0x36, /* 00110110 */
4097         0x36, /* 00110110 */
4098         0x36, /* 00110110 */
4099         0x36, /* 00110110 */
4100         0x36, /* 00110110 */
4101         0x36, /* 00110110 */
4102         0x36, /* 00110110 */
4103         0x36, /* 00110110 */
4104
4105         /* 200 0xc8 'È' */
4106         0x36, /* 00110110 */
4107         0x36, /* 00110110 */
4108         0x36, /* 00110110 */
4109         0x36, /* 00110110 */
4110         0x36, /* 00110110 */
4111         0x37, /* 00110111 */
4112         0x30, /* 00110000 */
4113         0x3f, /* 00111111 */
4114         0x00, /* 00000000 */
4115         0x00, /* 00000000 */
4116         0x00, /* 00000000 */
4117         0x00, /* 00000000 */
4118         0x00, /* 00000000 */
4119         0x00, /* 00000000 */
4120         0x00, /* 00000000 */
4121         0x00, /* 00000000 */
4122
4123         /* 201 0xc9 'É' */
4124         0x00, /* 00000000 */
4125         0x00, /* 00000000 */
4126         0x00, /* 00000000 */
4127         0x00, /* 00000000 */
4128         0x00, /* 00000000 */
4129         0x3f, /* 00111111 */
4130         0x30, /* 00110000 */
4131         0x37, /* 00110111 */
4132         0x36, /* 00110110 */
4133         0x36, /* 00110110 */
4134         0x36, /* 00110110 */
4135         0x36, /* 00110110 */
4136         0x36, /* 00110110 */
4137         0x36, /* 00110110 */
4138         0x36, /* 00110110 */
4139         0x36, /* 00110110 */
4140
4141         /* 202 0xca 'Ê' */
4142         0x36, /* 00110110 */
4143         0x36, /* 00110110 */
4144         0x36, /* 00110110 */
4145         0x36, /* 00110110 */
4146         0x36, /* 00110110 */
4147         0xf7, /* 11110111 */
4148         0x00, /* 00000000 */
4149         0xff, /* 11111111 */
4150         0x00, /* 00000000 */
4151         0x00, /* 00000000 */
4152         0x00, /* 00000000 */
4153         0x00, /* 00000000 */
4154         0x00, /* 00000000 */
4155         0x00, /* 00000000 */
4156         0x00, /* 00000000 */
4157         0x00, /* 00000000 */
4158
4159         /* 203 0xcb 'Ë' */
4160         0x00, /* 00000000 */
4161         0x00, /* 00000000 */
4162         0x00, /* 00000000 */
4163         0x00, /* 00000000 */
4164         0x00, /* 00000000 */
4165         0xff, /* 11111111 */
4166         0x00, /* 00000000 */
4167         0xf7, /* 11110111 */
4168         0x36, /* 00110110 */
4169         0x36, /* 00110110 */
4170         0x36, /* 00110110 */
4171         0x36, /* 00110110 */
4172         0x36, /* 00110110 */
4173         0x36, /* 00110110 */
4174         0x36, /* 00110110 */
4175         0x36, /* 00110110 */
4176
4177         /* 204 0xcc 'Ì' */
4178         0x36, /* 00110110 */
4179         0x36, /* 00110110 */
4180         0x36, /* 00110110 */
4181         0x36, /* 00110110 */
4182         0x36, /* 00110110 */
4183         0x37, /* 00110111 */
4184         0x30, /* 00110000 */
4185         0x37, /* 00110111 */
4186         0x36, /* 00110110 */
4187         0x36, /* 00110110 */
4188         0x36, /* 00110110 */
4189         0x36, /* 00110110 */
4190         0x36, /* 00110110 */
4191         0x36, /* 00110110 */
4192         0x36, /* 00110110 */
4193         0x36, /* 00110110 */
4194
4195         /* 205 0xcd 'Í' */
4196         0x00, /* 00000000 */
4197         0x00, /* 00000000 */
4198         0x00, /* 00000000 */
4199         0x00, /* 00000000 */
4200         0x00, /* 00000000 */
4201         0xff, /* 11111111 */
4202         0x00, /* 00000000 */
4203         0xff, /* 11111111 */
4204         0x00, /* 00000000 */
4205         0x00, /* 00000000 */
4206         0x00, /* 00000000 */
4207         0x00, /* 00000000 */
4208         0x00, /* 00000000 */
4209         0x00, /* 00000000 */
4210         0x00, /* 00000000 */
4211         0x00, /* 00000000 */
4212
4213         /* 206 0xce 'Î' */
4214         0x36, /* 00110110 */
4215         0x36, /* 00110110 */
4216         0x36, /* 00110110 */
4217         0x36, /* 00110110 */
4218         0x36, /* 00110110 */
4219         0xf7, /* 11110111 */
4220         0x00, /* 00000000 */
4221         0xf7, /* 11110111 */
4222         0x36, /* 00110110 */
4223         0x36, /* 00110110 */
4224         0x36, /* 00110110 */
4225         0x36, /* 00110110 */
4226         0x36, /* 00110110 */
4227         0x36, /* 00110110 */
4228         0x36, /* 00110110 */
4229         0x36, /* 00110110 */
4230
4231         /* 207 0xcf 'Ï' */
4232         0x18, /* 00011000 */
4233         0x18, /* 00011000 */
4234         0x18, /* 00011000 */
4235         0x18, /* 00011000 */
4236         0x18, /* 00011000 */
4237         0xff, /* 11111111 */
4238         0x00, /* 00000000 */
4239         0xff, /* 11111111 */
4240         0x00, /* 00000000 */
4241         0x00, /* 00000000 */
4242         0x00, /* 00000000 */
4243         0x00, /* 00000000 */
4244         0x00, /* 00000000 */
4245         0x00, /* 00000000 */
4246         0x00, /* 00000000 */
4247         0x00, /* 00000000 */
4248
4249         /* 208 0xd0 'Ð' */
4250         0x36, /* 00110110 */
4251         0x36, /* 00110110 */
4252         0x36, /* 00110110 */
4253         0x36, /* 00110110 */
4254         0x36, /* 00110110 */
4255         0x36, /* 00110110 */
4256         0x36, /* 00110110 */
4257         0xff, /* 11111111 */
4258         0x00, /* 00000000 */
4259         0x00, /* 00000000 */
4260         0x00, /* 00000000 */
4261         0x00, /* 00000000 */
4262         0x00, /* 00000000 */
4263         0x00, /* 00000000 */
4264         0x00, /* 00000000 */
4265         0x00, /* 00000000 */
4266
4267         /* 209 0xd1 'Ñ' */
4268         0x00, /* 00000000 */
4269         0x00, /* 00000000 */
4270         0x00, /* 00000000 */
4271         0x00, /* 00000000 */
4272         0x00, /* 00000000 */
4273         0xff, /* 11111111 */
4274         0x00, /* 00000000 */
4275         0xff, /* 11111111 */
4276         0x18, /* 00011000 */
4277         0x18, /* 00011000 */
4278         0x18, /* 00011000 */
4279         0x18, /* 00011000 */
4280         0x18, /* 00011000 */
4281         0x18, /* 00011000 */
4282         0x18, /* 00011000 */
4283         0x18, /* 00011000 */
4284
4285         /* 210 0xd2 'Ò' */
4286         0x00, /* 00000000 */
4287         0x00, /* 00000000 */
4288         0x00, /* 00000000 */
4289         0x00, /* 00000000 */
4290         0x00, /* 00000000 */
4291         0x00, /* 00000000 */
4292         0x00, /* 00000000 */
4293         0xff, /* 11111111 */
4294         0x36, /* 00110110 */
4295         0x36, /* 00110110 */
4296         0x36, /* 00110110 */
4297         0x36, /* 00110110 */
4298         0x36, /* 00110110 */
4299         0x36, /* 00110110 */
4300         0x36, /* 00110110 */
4301         0x36, /* 00110110 */
4302
4303         /* 211 0xd3 'Ó' */
4304         0x36, /* 00110110 */
4305         0x36, /* 00110110 */
4306         0x36, /* 00110110 */
4307         0x36, /* 00110110 */
4308         0x36, /* 00110110 */
4309         0x36, /* 00110110 */
4310         0x36, /* 00110110 */
4311         0x3f, /* 00111111 */
4312         0x00, /* 00000000 */
4313         0x00, /* 00000000 */
4314         0x00, /* 00000000 */
4315         0x00, /* 00000000 */
4316         0x00, /* 00000000 */
4317         0x00, /* 00000000 */
4318         0x00, /* 00000000 */
4319         0x00, /* 00000000 */
4320
4321         /* 212 0xd4 'Ô' */
4322         0x18, /* 00011000 */
4323         0x18, /* 00011000 */
4324         0x18, /* 00011000 */
4325         0x18, /* 00011000 */
4326         0x18, /* 00011000 */
4327         0x1f, /* 00011111 */
4328         0x18, /* 00011000 */
4329         0x1f, /* 00011111 */
4330         0x00, /* 00000000 */
4331         0x00, /* 00000000 */
4332         0x00, /* 00000000 */
4333         0x00, /* 00000000 */
4334         0x00, /* 00000000 */
4335         0x00, /* 00000000 */
4336         0x00, /* 00000000 */
4337         0x00, /* 00000000 */
4338
4339         /* 213 0xd5 'Õ' */
4340         0x00, /* 00000000 */
4341         0x00, /* 00000000 */
4342         0x00, /* 00000000 */
4343         0x00, /* 00000000 */
4344         0x00, /* 00000000 */
4345         0x1f, /* 00011111 */
4346         0x18, /* 00011000 */
4347         0x1f, /* 00011111 */
4348         0x18, /* 00011000 */
4349         0x18, /* 00011000 */
4350         0x18, /* 00011000 */
4351         0x18, /* 00011000 */
4352         0x18, /* 00011000 */
4353         0x18, /* 00011000 */
4354         0x18, /* 00011000 */
4355         0x18, /* 00011000 */
4356
4357         /* 214 0xd6 'Ö' */
4358         0x00, /* 00000000 */
4359         0x00, /* 00000000 */
4360         0x00, /* 00000000 */
4361         0x00, /* 00000000 */
4362         0x00, /* 00000000 */
4363         0x00, /* 00000000 */
4364         0x00, /* 00000000 */
4365         0x3f, /* 00111111 */
4366         0x36, /* 00110110 */
4367         0x36, /* 00110110 */
4368         0x36, /* 00110110 */
4369         0x36, /* 00110110 */
4370         0x36, /* 00110110 */
4371         0x36, /* 00110110 */
4372         0x36, /* 00110110 */
4373         0x36, /* 00110110 */
4374
4375         /* 215 0xd7 '×' */
4376         0x36, /* 00110110 */
4377         0x36, /* 00110110 */
4378         0x36, /* 00110110 */
4379         0x36, /* 00110110 */
4380         0x36, /* 00110110 */
4381         0x36, /* 00110110 */
4382         0x36, /* 00110110 */
4383         0xff, /* 11111111 */
4384         0x36, /* 00110110 */
4385         0x36, /* 00110110 */
4386         0x36, /* 00110110 */
4387         0x36, /* 00110110 */
4388         0x36, /* 00110110 */
4389         0x36, /* 00110110 */
4390         0x36, /* 00110110 */
4391         0x36, /* 00110110 */
4392
4393         /* 216 0xd8 'Ø' */
4394         0x18, /* 00011000 */
4395         0x18, /* 00011000 */
4396         0x18, /* 00011000 */
4397         0x18, /* 00011000 */
4398         0x18, /* 00011000 */
4399         0xff, /* 11111111 */
4400         0x18, /* 00011000 */
4401         0xff, /* 11111111 */
4402         0x18, /* 00011000 */
4403         0x18, /* 00011000 */
4404         0x18, /* 00011000 */
4405         0x18, /* 00011000 */
4406         0x18, /* 00011000 */
4407         0x18, /* 00011000 */
4408         0x18, /* 00011000 */
4409         0x18, /* 00011000 */
4410
4411         /* 217 0xd9 'Ù' */
4412         0x18, /* 00011000 */
4413         0x18, /* 00011000 */
4414         0x18, /* 00011000 */
4415         0x18, /* 00011000 */
4416         0x18, /* 00011000 */
4417         0x18, /* 00011000 */
4418         0x18, /* 00011000 */
4419         0xf8, /* 11111000 */
4420         0x00, /* 00000000 */
4421         0x00, /* 00000000 */
4422         0x00, /* 00000000 */
4423         0x00, /* 00000000 */
4424         0x00, /* 00000000 */
4425         0x00, /* 00000000 */
4426         0x00, /* 00000000 */
4427         0x00, /* 00000000 */
4428
4429         /* 218 0xda 'Ú' */
4430         0x00, /* 00000000 */
4431         0x00, /* 00000000 */
4432         0x00, /* 00000000 */
4433         0x00, /* 00000000 */
4434         0x00, /* 00000000 */
4435         0x00, /* 00000000 */
4436         0x00, /* 00000000 */
4437         0x1f, /* 00011111 */
4438         0x18, /* 00011000 */
4439         0x18, /* 00011000 */
4440         0x18, /* 00011000 */
4441         0x18, /* 00011000 */
4442         0x18, /* 00011000 */
4443         0x18, /* 00011000 */
4444         0x18, /* 00011000 */
4445         0x18, /* 00011000 */
4446
4447         /* 219 0xdb 'Û' */
4448         0xff, /* 11111111 */
4449         0xff, /* 11111111 */
4450         0xff, /* 11111111 */
4451         0xff, /* 11111111 */
4452         0xff, /* 11111111 */
4453         0xff, /* 11111111 */
4454         0xff, /* 11111111 */
4455         0xff, /* 11111111 */
4456         0xff, /* 11111111 */
4457         0xff, /* 11111111 */
4458         0xff, /* 11111111 */
4459         0xff, /* 11111111 */
4460         0xff, /* 11111111 */
4461         0xff, /* 11111111 */
4462         0xff, /* 11111111 */
4463         0xff, /* 11111111 */
4464
4465         /* 220 0xdc 'Ü' */
4466         0x00, /* 00000000 */
4467         0x00, /* 00000000 */
4468         0x00, /* 00000000 */
4469         0x00, /* 00000000 */
4470         0x00, /* 00000000 */
4471         0x00, /* 00000000 */
4472         0x00, /* 00000000 */
4473         0xff, /* 11111111 */
4474         0xff, /* 11111111 */
4475         0xff, /* 11111111 */
4476         0xff, /* 11111111 */
4477         0xff, /* 11111111 */
4478         0xff, /* 11111111 */
4479         0xff, /* 11111111 */
4480         0xff, /* 11111111 */
4481         0xff, /* 11111111 */
4482
4483         /* 221 0xdd 'Ý' */
4484         0xf0, /* 11110000 */
4485         0xf0, /* 11110000 */
4486         0xf0, /* 11110000 */
4487         0xf0, /* 11110000 */
4488         0xf0, /* 11110000 */
4489         0xf0, /* 11110000 */
4490         0xf0, /* 11110000 */
4491         0xf0, /* 11110000 */
4492         0xf0, /* 11110000 */
4493         0xf0, /* 11110000 */
4494         0xf0, /* 11110000 */
4495         0xf0, /* 11110000 */
4496         0xf0, /* 11110000 */
4497         0xf0, /* 11110000 */
4498         0xf0, /* 11110000 */
4499         0xf0, /* 11110000 */
4500
4501         /* 222 0xde 'Þ' */
4502         0x0f, /* 00001111 */
4503         0x0f, /* 00001111 */
4504         0x0f, /* 00001111 */
4505         0x0f, /* 00001111 */
4506         0x0f, /* 00001111 */
4507         0x0f, /* 00001111 */
4508         0x0f, /* 00001111 */
4509         0x0f, /* 00001111 */
4510         0x0f, /* 00001111 */
4511         0x0f, /* 00001111 */
4512         0x0f, /* 00001111 */
4513         0x0f, /* 00001111 */
4514         0x0f, /* 00001111 */
4515         0x0f, /* 00001111 */
4516         0x0f, /* 00001111 */
4517         0x0f, /* 00001111 */
4518
4519         /* 223 0xdf 'ß' */
4520         0xff, /* 11111111 */
4521         0xff, /* 11111111 */
4522         0xff, /* 11111111 */
4523         0xff, /* 11111111 */
4524         0xff, /* 11111111 */
4525         0xff, /* 11111111 */
4526         0xff, /* 11111111 */
4527         0x00, /* 00000000 */
4528         0x00, /* 00000000 */
4529         0x00, /* 00000000 */
4530         0x00, /* 00000000 */
4531         0x00, /* 00000000 */
4532         0x00, /* 00000000 */
4533         0x00, /* 00000000 */
4534         0x00, /* 00000000 */
4535         0x00, /* 00000000 */
4536
4537         /* 224 0xe0 'à' */
4538         0x00, /* 00000000 */
4539         0x00, /* 00000000 */
4540         0x00, /* 00000000 */
4541         0x00, /* 00000000 */
4542         0x00, /* 00000000 */
4543         0x76, /* 01110110 */
4544         0xdc, /* 11011100 */
4545         0xd8, /* 11011000 */
4546         0xd8, /* 11011000 */
4547         0xd8, /* 11011000 */
4548         0xdc, /* 11011100 */
4549         0x76, /* 01110110 */
4550         0x00, /* 00000000 */
4551         0x00, /* 00000000 */
4552         0x00, /* 00000000 */
4553         0x00, /* 00000000 */
4554
4555         /* 225 0xe1 'á' */
4556         0x00, /* 00000000 */
4557         0x00, /* 00000000 */
4558         0x78, /* 01111000 */
4559         0xcc, /* 11001100 */
4560         0xcc, /* 11001100 */
4561         0xcc, /* 11001100 */
4562         0xd8, /* 11011000 */
4563         0xcc, /* 11001100 */
4564         0xc6, /* 11000110 */
4565         0xc6, /* 11000110 */
4566         0xc6, /* 11000110 */
4567         0xcc, /* 11001100 */
4568         0x00, /* 00000000 */
4569         0x00, /* 00000000 */
4570         0x00, /* 00000000 */
4571         0x00, /* 00000000 */
4572
4573         /* 226 0xe2 'â' */
4574         0x00, /* 00000000 */
4575         0x00, /* 00000000 */
4576         0xfe, /* 11111110 */
4577         0xc6, /* 11000110 */
4578         0xc6, /* 11000110 */
4579         0xc0, /* 11000000 */
4580         0xc0, /* 11000000 */
4581         0xc0, /* 11000000 */
4582         0xc0, /* 11000000 */
4583         0xc0, /* 11000000 */
4584         0xc0, /* 11000000 */
4585         0xc0, /* 11000000 */
4586         0x00, /* 00000000 */
4587         0x00, /* 00000000 */
4588         0x00, /* 00000000 */
4589         0x00, /* 00000000 */
4590
4591         /* 227 0xe3 'ã' */
4592         0x00, /* 00000000 */
4593         0x00, /* 00000000 */
4594         0x00, /* 00000000 */
4595         0x00, /* 00000000 */
4596         0x00, /* 00000000 */
4597         0xfe, /* 11111110 */
4598         0x6c, /* 01101100 */
4599         0x6c, /* 01101100 */
4600         0x6c, /* 01101100 */
4601         0x6c, /* 01101100 */
4602         0x6c, /* 01101100 */
4603         0x6c, /* 01101100 */
4604         0x00, /* 00000000 */
4605         0x00, /* 00000000 */
4606         0x00, /* 00000000 */
4607         0x00, /* 00000000 */
4608
4609         /* 228 0xe4 'ä' */
4610         0x00, /* 00000000 */
4611         0x00, /* 00000000 */
4612         0xfe, /* 11111110 */
4613         0xc6, /* 11000110 */
4614         0x60, /* 01100000 */
4615         0x30, /* 00110000 */
4616         0x18, /* 00011000 */
4617         0x18, /* 00011000 */
4618         0x30, /* 00110000 */
4619         0x60, /* 01100000 */
4620         0xc6, /* 11000110 */
4621         0xfe, /* 11111110 */
4622         0x00, /* 00000000 */
4623         0x00, /* 00000000 */
4624         0x00, /* 00000000 */
4625         0x00, /* 00000000 */
4626
4627         /* 229 0xe5 'å' */
4628         0x00, /* 00000000 */
4629         0x00, /* 00000000 */
4630         0x00, /* 00000000 */
4631         0x00, /* 00000000 */
4632         0x00, /* 00000000 */
4633         0x7e, /* 01111110 */
4634         0xd8, /* 11011000 */
4635         0xd8, /* 11011000 */
4636         0xd8, /* 11011000 */
4637         0xd8, /* 11011000 */
4638         0xd8, /* 11011000 */
4639         0x70, /* 01110000 */
4640         0x00, /* 00000000 */
4641         0x00, /* 00000000 */
4642         0x00, /* 00000000 */
4643         0x00, /* 00000000 */
4644
4645         /* 230 0xe6 'æ' */
4646         0x00, /* 00000000 */
4647         0x00, /* 00000000 */
4648         0x00, /* 00000000 */
4649         0x00, /* 00000000 */
4650         0x00, /* 00000000 */
4651         0x66, /* 01100110 */
4652         0x66, /* 01100110 */
4653         0x66, /* 01100110 */
4654         0x66, /* 01100110 */
4655         0x66, /* 01100110 */
4656         0x66, /* 01100110 */
4657         0x7c, /* 01111100 */
4658         0x60, /* 01100000 */
4659         0x60, /* 01100000 */
4660         0xc0, /* 11000000 */
4661         0x00, /* 00000000 */
4662
4663         /* 231 0xe7 'ç' */
4664         0x00, /* 00000000 */
4665         0x00, /* 00000000 */
4666         0x00, /* 00000000 */
4667         0x00, /* 00000000 */
4668         0x76, /* 01110110 */
4669         0xdc, /* 11011100 */
4670         0x18, /* 00011000 */
4671         0x18, /* 00011000 */
4672         0x18, /* 00011000 */
4673         0x18, /* 00011000 */
4674         0x18, /* 00011000 */
4675         0x18, /* 00011000 */
4676         0x00, /* 00000000 */
4677         0x00, /* 00000000 */
4678         0x00, /* 00000000 */
4679         0x00, /* 00000000 */
4680
4681         /* 232 0xe8 'è' */
4682         0x00, /* 00000000 */
4683         0x00, /* 00000000 */
4684         0x7e, /* 01111110 */
4685         0x18, /* 00011000 */
4686         0x3c, /* 00111100 */
4687         0x66, /* 01100110 */
4688         0x66, /* 01100110 */
4689         0x66, /* 01100110 */
4690         0x66, /* 01100110 */
4691         0x3c, /* 00111100 */
4692         0x18, /* 00011000 */
4693         0x7e, /* 01111110 */
4694         0x00, /* 00000000 */
4695         0x00, /* 00000000 */
4696         0x00, /* 00000000 */
4697         0x00, /* 00000000 */
4698
4699         /* 233 0xe9 'é' */
4700         0x00, /* 00000000 */
4701         0x00, /* 00000000 */
4702         0x38, /* 00111000 */
4703         0x6c, /* 01101100 */
4704         0xc6, /* 11000110 */
4705         0xc6, /* 11000110 */
4706         0xfe, /* 11111110 */
4707         0xc6, /* 11000110 */
4708         0xc6, /* 11000110 */
4709         0xc6, /* 11000110 */
4710         0x6c, /* 01101100 */
4711         0x38, /* 00111000 */
4712         0x00, /* 00000000 */
4713         0x00, /* 00000000 */
4714         0x00, /* 00000000 */
4715         0x00, /* 00000000 */
4716
4717         /* 234 0xea 'ê' */
4718         0x00, /* 00000000 */
4719         0x00, /* 00000000 */
4720         0x38, /* 00111000 */
4721         0x6c, /* 01101100 */
4722         0xc6, /* 11000110 */
4723         0xc6, /* 11000110 */
4724         0xc6, /* 11000110 */
4725         0x6c, /* 01101100 */
4726         0x6c, /* 01101100 */
4727         0x6c, /* 01101100 */
4728         0x6c, /* 01101100 */
4729         0xee, /* 11101110 */
4730         0x00, /* 00000000 */
4731         0x00, /* 00000000 */
4732         0x00, /* 00000000 */
4733         0x00, /* 00000000 */
4734
4735         /* 235 0xeb 'ë' */
4736         0x00, /* 00000000 */
4737         0x00, /* 00000000 */
4738         0x1e, /* 00011110 */
4739         0x30, /* 00110000 */
4740         0x18, /* 00011000 */
4741         0x0c, /* 00001100 */
4742         0x3e, /* 00111110 */
4743         0x66, /* 01100110 */
4744         0x66, /* 01100110 */
4745         0x66, /* 01100110 */
4746         0x66, /* 01100110 */
4747         0x3c, /* 00111100 */
4748         0x00, /* 00000000 */
4749         0x00, /* 00000000 */
4750         0x00, /* 00000000 */
4751         0x00, /* 00000000 */
4752
4753         /* 236 0xec 'ì' */
4754         0x00, /* 00000000 */
4755         0x00, /* 00000000 */
4756         0x00, /* 00000000 */
4757         0x00, /* 00000000 */
4758         0x00, /* 00000000 */
4759         0x7e, /* 01111110 */
4760         0xdb, /* 11011011 */
4761         0xdb, /* 11011011 */
4762         0xdb, /* 11011011 */
4763         0x7e, /* 01111110 */
4764         0x00, /* 00000000 */
4765         0x00, /* 00000000 */
4766         0x00, /* 00000000 */
4767         0x00, /* 00000000 */
4768         0x00, /* 00000000 */
4769         0x00, /* 00000000 */
4770
4771         /* 237 0xed 'í' */
4772         0x00, /* 00000000 */
4773         0x00, /* 00000000 */
4774         0x00, /* 00000000 */
4775         0x03, /* 00000011 */
4776         0x06, /* 00000110 */
4777         0x7e, /* 01111110 */
4778         0xdb, /* 11011011 */
4779         0xdb, /* 11011011 */
4780         0xf3, /* 11110011 */
4781         0x7e, /* 01111110 */
4782         0x60, /* 01100000 */
4783         0xc0, /* 11000000 */
4784         0x00, /* 00000000 */
4785         0x00, /* 00000000 */
4786         0x00, /* 00000000 */
4787         0x00, /* 00000000 */
4788
4789         /* 238 0xee 'î' */
4790         0x00, /* 00000000 */
4791         0x00, /* 00000000 */
4792         0x1c, /* 00011100 */
4793         0x30, /* 00110000 */
4794         0x60, /* 01100000 */
4795         0x60, /* 01100000 */
4796         0x7c, /* 01111100 */
4797         0x60, /* 01100000 */
4798         0x60, /* 01100000 */
4799         0x60, /* 01100000 */
4800         0x30, /* 00110000 */
4801         0x1c, /* 00011100 */
4802         0x00, /* 00000000 */
4803         0x00, /* 00000000 */
4804         0x00, /* 00000000 */
4805         0x00, /* 00000000 */
4806
4807         /* 239 0xef 'ï' */
4808         0x00, /* 00000000 */
4809         0x00, /* 00000000 */
4810         0x00, /* 00000000 */
4811         0x7c, /* 01111100 */
4812         0xc6, /* 11000110 */
4813         0xc6, /* 11000110 */
4814         0xc6, /* 11000110 */
4815         0xc6, /* 11000110 */
4816         0xc6, /* 11000110 */
4817         0xc6, /* 11000110 */
4818         0xc6, /* 11000110 */
4819         0xc6, /* 11000110 */
4820         0x00, /* 00000000 */
4821         0x00, /* 00000000 */
4822         0x00, /* 00000000 */
4823         0x00, /* 00000000 */
4824
4825         /* 240 0xf0 'ð' */
4826         0x00, /* 00000000 */
4827         0x00, /* 00000000 */
4828         0x00, /* 00000000 */
4829         0x00, /* 00000000 */
4830         0xfe, /* 11111110 */
4831         0x00, /* 00000000 */
4832         0x00, /* 00000000 */
4833         0xfe, /* 11111110 */
4834         0x00, /* 00000000 */
4835         0x00, /* 00000000 */
4836         0xfe, /* 11111110 */
4837         0x00, /* 00000000 */
4838         0x00, /* 00000000 */
4839         0x00, /* 00000000 */
4840         0x00, /* 00000000 */
4841         0x00, /* 00000000 */
4842
4843         /* 241 0xf1 'ñ' */
4844         0x00, /* 00000000 */
4845         0x00, /* 00000000 */
4846         0x00, /* 00000000 */
4847         0x00, /* 00000000 */
4848         0x18, /* 00011000 */
4849         0x18, /* 00011000 */
4850         0x7e, /* 01111110 */
4851         0x18, /* 00011000 */
4852         0x18, /* 00011000 */
4853         0x00, /* 00000000 */
4854         0x00, /* 00000000 */
4855         0x7e, /* 01111110 */
4856         0x00, /* 00000000 */
4857         0x00, /* 00000000 */
4858         0x00, /* 00000000 */
4859         0x00, /* 00000000 */
4860
4861         /* 242 0xf2 'ò' */
4862         0x00, /* 00000000 */
4863         0x00, /* 00000000 */
4864         0x00, /* 00000000 */
4865         0x30, /* 00110000 */
4866         0x18, /* 00011000 */
4867         0x0c, /* 00001100 */
4868         0x06, /* 00000110 */
4869         0x0c, /* 00001100 */
4870         0x18, /* 00011000 */
4871         0x30, /* 00110000 */
4872         0x00, /* 00000000 */
4873         0x7e, /* 01111110 */
4874         0x00, /* 00000000 */
4875         0x00, /* 00000000 */
4876         0x00, /* 00000000 */
4877         0x00, /* 00000000 */
4878
4879         /* 243 0xf3 'ó' */
4880         0x00, /* 00000000 */
4881         0x00, /* 00000000 */
4882         0x00, /* 00000000 */
4883         0x0c, /* 00001100 */
4884         0x18, /* 00011000 */
4885         0x30, /* 00110000 */
4886         0x60, /* 01100000 */
4887         0x30, /* 00110000 */
4888         0x18, /* 00011000 */
4889         0x0c, /* 00001100 */
4890         0x00, /* 00000000 */
4891         0x7e, /* 01111110 */
4892         0x00, /* 00000000 */
4893         0x00, /* 00000000 */
4894         0x00, /* 00000000 */
4895         0x00, /* 00000000 */
4896
4897         /* 244 0xf4 'ô' */
4898         0x00, /* 00000000 */
4899         0x00, /* 00000000 */
4900         0x0e, /* 00001110 */
4901         0x1b, /* 00011011 */
4902         0x1b, /* 00011011 */
4903         0x18, /* 00011000 */
4904         0x18, /* 00011000 */
4905         0x18, /* 00011000 */
4906         0x18, /* 00011000 */
4907         0x18, /* 00011000 */
4908         0x18, /* 00011000 */
4909         0x18, /* 00011000 */
4910         0x18, /* 00011000 */
4911         0x18, /* 00011000 */
4912         0x18, /* 00011000 */
4913         0x18, /* 00011000 */
4914
4915         /* 245 0xf5 'õ' */
4916         0x18, /* 00011000 */
4917         0x18, /* 00011000 */
4918         0x18, /* 00011000 */
4919         0x18, /* 00011000 */
4920         0x18, /* 00011000 */
4921         0x18, /* 00011000 */
4922         0x18, /* 00011000 */
4923         0x18, /* 00011000 */
4924         0x18, /* 00011000 */
4925         0xd8, /* 11011000 */
4926         0xd8, /* 11011000 */
4927         0xd8, /* 11011000 */
4928         0x70, /* 01110000 */
4929         0x00, /* 00000000 */
4930         0x00, /* 00000000 */
4931         0x00, /* 00000000 */
4932
4933         /* 246 0xf6 'ö' */
4934         0x00, /* 00000000 */
4935         0x00, /* 00000000 */
4936         0x00, /* 00000000 */
4937         0x00, /* 00000000 */
4938         0x00, /* 00000000 */
4939         0x18, /* 00011000 */
4940         0x00, /* 00000000 */
4941         0x7e, /* 01111110 */
4942         0x00, /* 00000000 */
4943         0x18, /* 00011000 */
4944         0x00, /* 00000000 */
4945         0x00, /* 00000000 */
4946         0x00, /* 00000000 */
4947         0x00, /* 00000000 */
4948         0x00, /* 00000000 */
4949         0x00, /* 00000000 */
4950
4951         /* 247 0xf7 '÷' */
4952         0x00, /* 00000000 */
4953         0x00, /* 00000000 */
4954         0x00, /* 00000000 */
4955         0x00, /* 00000000 */
4956         0x00, /* 00000000 */
4957         0x76, /* 01110110 */
4958         0xdc, /* 11011100 */
4959         0x00, /* 00000000 */
4960         0x76, /* 01110110 */
4961         0xdc, /* 11011100 */
4962         0x00, /* 00000000 */
4963         0x00, /* 00000000 */
4964         0x00, /* 00000000 */
4965         0x00, /* 00000000 */
4966         0x00, /* 00000000 */
4967         0x00, /* 00000000 */
4968
4969         /* 248 0xf8 'ø' */
4970         0x00, /* 00000000 */
4971         0x38, /* 00111000 */
4972         0x6c, /* 01101100 */
4973         0x6c, /* 01101100 */
4974         0x38, /* 00111000 */
4975         0x00, /* 00000000 */
4976         0x00, /* 00000000 */
4977         0x00, /* 00000000 */
4978         0x00, /* 00000000 */
4979         0x00, /* 00000000 */
4980         0x00, /* 00000000 */
4981         0x00, /* 00000000 */
4982         0x00, /* 00000000 */
4983         0x00, /* 00000000 */
4984         0x00, /* 00000000 */
4985         0x00, /* 00000000 */
4986
4987         /* 249 0xf9 'ù' */
4988         0x00, /* 00000000 */
4989         0x00, /* 00000000 */
4990         0x00, /* 00000000 */
4991         0x00, /* 00000000 */
4992         0x00, /* 00000000 */
4993         0x00, /* 00000000 */
4994         0x00, /* 00000000 */
4995         0x18, /* 00011000 */
4996         0x18, /* 00011000 */
4997         0x00, /* 00000000 */
4998         0x00, /* 00000000 */
4999         0x00, /* 00000000 */
5000         0x00, /* 00000000 */
5001         0x00, /* 00000000 */
5002         0x00, /* 00000000 */
5003         0x00, /* 00000000 */
5004
5005         /* 250 0xfa 'ú' */
5006         0x00, /* 00000000 */
5007         0x00, /* 00000000 */
5008         0x00, /* 00000000 */
5009         0x00, /* 00000000 */
5010         0x00, /* 00000000 */
5011         0x00, /* 00000000 */
5012         0x00, /* 00000000 */
5013         0x18, /* 00011000 */
5014         0x00, /* 00000000 */
5015         0x00, /* 00000000 */
5016         0x00, /* 00000000 */
5017         0x00, /* 00000000 */
5018         0x00, /* 00000000 */
5019         0x00, /* 00000000 */
5020         0x00, /* 00000000 */
5021         0x00, /* 00000000 */
5022
5023         /* 251 0xfb 'û' */
5024         0x00, /* 00000000 */
5025         0x0f, /* 00001111 */
5026         0x0c, /* 00001100 */
5027         0x0c, /* 00001100 */
5028         0x0c, /* 00001100 */
5029         0x0c, /* 00001100 */
5030         0x0c, /* 00001100 */
5031         0xec, /* 11101100 */
5032         0x6c, /* 01101100 */
5033         0x6c, /* 01101100 */
5034         0x3c, /* 00111100 */
5035         0x1c, /* 00011100 */
5036         0x00, /* 00000000 */
5037         0x00, /* 00000000 */
5038         0x00, /* 00000000 */
5039         0x00, /* 00000000 */
5040
5041         /* 252 0xfc 'ü' */
5042         0x00, /* 00000000 */
5043         0x6c, /* 01101100 */
5044         0x36, /* 00110110 */
5045         0x36, /* 00110110 */
5046         0x36, /* 00110110 */
5047         0x36, /* 00110110 */
5048         0x36, /* 00110110 */
5049         0x00, /* 00000000 */
5050         0x00, /* 00000000 */
5051         0x00, /* 00000000 */
5052         0x00, /* 00000000 */
5053         0x00, /* 00000000 */
5054         0x00, /* 00000000 */
5055         0x00, /* 00000000 */
5056         0x00, /* 00000000 */
5057         0x00, /* 00000000 */
5058
5059         /* 253 0xfd 'ý' */
5060         0x00, /* 00000000 */
5061         0x3c, /* 00111100 */
5062         0x66, /* 01100110 */
5063         0x0c, /* 00001100 */
5064         0x18, /* 00011000 */
5065         0x32, /* 00110010 */
5066         0x7e, /* 01111110 */
5067         0x00, /* 00000000 */
5068         0x00, /* 00000000 */
5069         0x00, /* 00000000 */
5070         0x00, /* 00000000 */
5071         0x00, /* 00000000 */
5072         0x00, /* 00000000 */
5073         0x00, /* 00000000 */
5074         0x00, /* 00000000 */
5075         0x00, /* 00000000 */
5076
5077         /* 254 0xfe 'þ' */
5078         0x00, /* 00000000 */
5079         0x00, /* 00000000 */
5080         0x00, /* 00000000 */
5081         0x00, /* 00000000 */
5082         0x7e, /* 01111110 */
5083         0x7e, /* 01111110 */
5084         0x7e, /* 01111110 */
5085         0x7e, /* 01111110 */
5086         0x7e, /* 01111110 */
5087         0x7e, /* 01111110 */
5088         0x7e, /* 01111110 */
5089         0x00, /* 00000000 */
5090         0x00, /* 00000000 */
5091         0x00, /* 00000000 */
5092         0x00, /* 00000000 */
5093         0x00, /* 00000000 */
5094
5095         /* 255 0xff 'ÿ' */
5096         0x00, /* 00000000 */
5097         0x00, /* 00000000 */
5098         0x00, /* 00000000 */
5099         0x00, /* 00000000 */
5100         0x00, /* 00000000 */
5101         0x00, /* 00000000 */
5102         0x00, /* 00000000 */
5103         0x00, /* 00000000 */
5104         0x00, /* 00000000 */
5105         0x00, /* 00000000 */
5106         0x00, /* 00000000 */
5107         0x00, /* 00000000 */
5108         0x00, /* 00000000 */
5109         0x00, /* 00000000 */
5110         0x00, /* 00000000 */
5111         0x00, /* 00000000 */
5112
5113 };
5114
5115 #endif /* #ifndef _VGA_TABLE_H */