]> git.kernelconcepts.de Git - karo-tx-uboot.git/commitdiff
Set the AT91RM9200 clock to asynchronous mode
authorWolfgang Denk <wd@pollux.denx.de>
Wed, 5 Oct 2005 00:06:08 +0000 (02:06 +0200)
committerWolfgang Denk <wd@pollux.denx.de>
Wed, 5 Oct 2005 00:06:08 +0000 (02:06 +0200)
Patch by Anders Larsen, 03 May 2005

CHANGELOG
cpu/arm920t/at91rm9200/lowlevel_init.S

index 8c63cdeb4c7fba1be52ef9973ddb84e3c3bd71ef..48049dad92821ad07bdccdb0a479c21f89cdef99 100644 (file)
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -2,6 +2,9 @@
 Changes for U-Boot 1.1.4:
 ======================================================================
 
+* Set the AT91RM9200 clock to asynchronous mode
+  Patch by Anders Larsen, 03 May 2005
+
 * Set the AT91RM9200 clock to synchronous mode
   Patch by Anders Larsen, 29 Apr 2005
 
index 6941d42dff03cc84bad5236f7944b9a11dcf41e1..1902bd02c5d236e526d0bc2133b2c07dd954e843 100644 (file)
@@ -123,9 +123,9 @@ LoopOsc:
        cmp     r2, r0
        bne     2b
 
-       /* switch from FastBus to Synchronous clock mode */
+       /* switch from FastBus to Asynchronous clock mode */
        mrc     p15, 0, r0, c1, c0, 0
-       orr     r0, r0, #0x40000000     @ set bit 30 (nF) notFastBus
+       orr     r0, r0, #0xC0000000     @ set bit 31 (iA) and 30 (nF)
        mcr     p15, 0, r0, c1, c0, 0
 
        /* everything is fine now */