]> git.kernelconcepts.de Git - karo-tx-uboot.git/blob - board/karo/tx48/tx48.c
karo: tx48: make sure I2C pads are high upon boot
[karo-tx-uboot.git] / board / karo / tx48 / tx48.c
1 /*
2  * Copyright (C) 2012-2013 Lothar Waßmann <LW@KARO-electronics.de>
3  *
4  * based on evm.c
5  * Copyright (C) 2011 Texas Instruments Incorporated - http://www.ti.com/
6  *
7  * This program is free software; you can redistribute it and/or
8  * modify it under the terms of the GNU General Public License
9  * version 2 as published by the Free Software Foundation.
10  *
11  * This program is distributed "as is" WITHOUT ANY WARRANTY of any
12  * kind, whether express or implied; without even the implied warranty
13  * of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14  * GNU General Public License for more details.
15  */
16
17 #include <common.h>
18 #include <errno.h>
19 #include <miiphy.h>
20 #include <netdev.h>
21 #include <serial.h>
22 #include <libfdt.h>
23 #include <lcd.h>
24 #include <fdt_support.h>
25 #include <nand.h>
26 #include <net.h>
27 #include <linux/mtd/nand.h>
28 #include <linux/fb.h>
29 #include <asm/gpio.h>
30 #include <asm/cache.h>
31 #include <asm/omap_common.h>
32 #include <asm/io.h>
33 #include <asm/arch/cpu.h>
34 #include <asm/arch/hardware.h>
35 #include <asm/arch/mmc_host_def.h>
36 #include <asm/arch/sys_proto.h>
37 #include <asm/arch/clock.h>
38 #include <video_fb.h>
39 #include <asm/arch/da8xx-fb.h>
40
41 #include "../common/karo.h"
42
43 DECLARE_GLOBAL_DATA_PTR;
44
45 #define TX48_LED_GPIO           AM33XX_GPIO_NR(1, 26)
46 #define TX48_ETH_PHY_RST_GPIO   AM33XX_GPIO_NR(3, 8)
47 #define TX48_LCD_RST_GPIO       AM33XX_GPIO_NR(1, 19)
48 #define TX48_LCD_PWR_GPIO       AM33XX_GPIO_NR(1, 22)
49 #define TX48_LCD_BACKLIGHT_GPIO AM33XX_GPIO_NR(3, 14)
50 #define TX48_MMC_CD_GPIO        AM33XX_GPIO_NR(3, 15)
51
52 #define GMII_SEL                (CTRL_BASE + 0x650)
53
54 /* UART Defines */
55 #define UART_SYSCFG_OFFSET      0x54
56 #define UART_SYSSTS_OFFSET      0x58
57
58 #define UART_RESET              (0x1 << 1)
59 #define UART_CLK_RUNNING_MASK   0x1
60 #define UART_SMART_IDLE_EN      (0x1 << 0x3)
61
62 /* Timer Defines */
63 #define TSICR_REG               0x54
64 #define TIOCP_CFG_REG           0x10
65 #define TCLR_REG                0x38
66
67 /* RGMII mode define */
68 #define RGMII_MODE_ENABLE       0xA
69 #define RMII_MODE_ENABLE        0x5
70 #define MII_MODE_ENABLE         0x0
71
72 #define NO_OF_MAC_ADDR          1
73 #define ETH_ALEN                6
74
75 /* PAD Control Fields */
76 #define SLEWCTRL        (0x1 << 6)
77 #define RXACTIVE        (0x1 << 5)
78 #define PULLUP_EN       (0x1 << 4) /* Pull UP Selection */
79 #define PULLUDEN        (0x0 << 3) /* Pull up enabled */
80 #define PULLUDDIS       (0x1 << 3) /* Pull up disabled */
81 #define MODE(val)       (val)
82
83 /*
84  * PAD CONTROL OFFSETS
85  * Field names corresponds to the pad signal name
86  */
87 struct pad_signals {
88         int gpmc_ad0;
89         int gpmc_ad1;
90         int gpmc_ad2;
91         int gpmc_ad3;
92         int gpmc_ad4;
93         int gpmc_ad5;
94         int gpmc_ad6;
95         int gpmc_ad7;
96         int gpmc_ad8;
97         int gpmc_ad9;
98         int gpmc_ad10;
99         int gpmc_ad11;
100         int gpmc_ad12;
101         int gpmc_ad13;
102         int gpmc_ad14;
103         int gpmc_ad15;
104         int gpmc_a0;
105         int gpmc_a1;
106         int gpmc_a2;
107         int gpmc_a3;
108         int gpmc_a4;
109         int gpmc_a5;
110         int gpmc_a6;
111         int gpmc_a7;
112         int gpmc_a8;
113         int gpmc_a9;
114         int gpmc_a10;
115         int gpmc_a11;
116         int gpmc_wait0;
117         int gpmc_wpn;
118         int gpmc_be1n;
119         int gpmc_csn0;
120         int gpmc_csn1;
121         int gpmc_csn2;
122         int gpmc_csn3;
123         int gpmc_clk;
124         int gpmc_advn_ale;
125         int gpmc_oen_ren;
126         int gpmc_wen;
127         int gpmc_be0n_cle;
128         int lcd_data0;
129         int lcd_data1;
130         int lcd_data2;
131         int lcd_data3;
132         int lcd_data4;
133         int lcd_data5;
134         int lcd_data6;
135         int lcd_data7;
136         int lcd_data8;
137         int lcd_data9;
138         int lcd_data10;
139         int lcd_data11;
140         int lcd_data12;
141         int lcd_data13;
142         int lcd_data14;
143         int lcd_data15;
144         int lcd_vsync;
145         int lcd_hsync;
146         int lcd_pclk;
147         int lcd_ac_bias_en;
148         int mmc0_dat3;
149         int mmc0_dat2;
150         int mmc0_dat1;
151         int mmc0_dat0;
152         int mmc0_clk;
153         int mmc0_cmd;
154         int mii1_col;
155         int mii1_crs;
156         int mii1_rxerr;
157         int mii1_txen;
158         int mii1_rxdv;
159         int mii1_txd3;
160         int mii1_txd2;
161         int mii1_txd1;
162         int mii1_txd0;
163         int mii1_txclk;
164         int mii1_rxclk;
165         int mii1_rxd3;
166         int mii1_rxd2;
167         int mii1_rxd1;
168         int mii1_rxd0;
169         int rmii1_refclk;
170         int mdio_data;
171         int mdio_clk;
172         int spi0_sclk;
173         int spi0_d0;
174         int spi0_d1;
175         int spi0_cs0;
176         int spi0_cs1;
177         int ecap0_in_pwm0_out;
178         int uart0_ctsn;
179         int uart0_rtsn;
180         int uart0_rxd;
181         int uart0_txd;
182         int uart1_ctsn;
183         int uart1_rtsn;
184         int uart1_rxd;
185         int uart1_txd;
186         int i2c0_sda;
187         int i2c0_scl;
188         int mcasp0_aclkx;
189         int mcasp0_fsx;
190         int mcasp0_axr0;
191         int mcasp0_ahclkr;
192         int mcasp0_aclkr;
193         int mcasp0_fsr;
194         int mcasp0_axr1;
195         int mcasp0_ahclkx;
196         int xdma_event_intr0;
197         int xdma_event_intr1;
198         int nresetin_out;
199         int porz;
200         int nnmi;
201         int osc0_in;
202         int osc0_out;
203         int rsvd1;
204         int tms;
205         int tdi;
206         int tdo;
207         int tck;
208         int ntrst;
209         int emu0;
210         int emu1;
211         int osc1_in;
212         int osc1_out;
213         int pmic_power_en;
214         int rtc_porz;
215         int rsvd2;
216         int ext_wakeup;
217         int enz_kaldo_1p8v;
218         int usb0_dm;
219         int usb0_dp;
220         int usb0_ce;
221         int usb0_id;
222         int usb0_vbus;
223         int usb0_drvvbus;
224         int usb1_dm;
225         int usb1_dp;
226         int usb1_ce;
227         int usb1_id;
228         int usb1_vbus;
229         int usb1_drvvbus;
230         int ddr_resetn;
231         int ddr_csn0;
232         int ddr_cke;
233         int ddr_ck;
234         int ddr_nck;
235         int ddr_casn;
236         int ddr_rasn;
237         int ddr_wen;
238         int ddr_ba0;
239         int ddr_ba1;
240         int ddr_ba2;
241         int ddr_a0;
242         int ddr_a1;
243         int ddr_a2;
244         int ddr_a3;
245         int ddr_a4;
246         int ddr_a5;
247         int ddr_a6;
248         int ddr_a7;
249         int ddr_a8;
250         int ddr_a9;
251         int ddr_a10;
252         int ddr_a11;
253         int ddr_a12;
254         int ddr_a13;
255         int ddr_a14;
256         int ddr_a15;
257         int ddr_odt;
258         int ddr_d0;
259         int ddr_d1;
260         int ddr_d2;
261         int ddr_d3;
262         int ddr_d4;
263         int ddr_d5;
264         int ddr_d6;
265         int ddr_d7;
266         int ddr_d8;
267         int ddr_d9;
268         int ddr_d10;
269         int ddr_d11;
270         int ddr_d12;
271         int ddr_d13;
272         int ddr_d14;
273         int ddr_d15;
274         int ddr_dqm0;
275         int ddr_dqm1;
276         int ddr_dqs0;
277         int ddr_dqsn0;
278         int ddr_dqs1;
279         int ddr_dqsn1;
280         int ddr_vref;
281         int ddr_vtp;
282         int ddr_strben0;
283         int ddr_strben1;
284         int ain7;
285         int ain6;
286         int ain5;
287         int ain4;
288         int ain3;
289         int ain2;
290         int ain1;
291         int ain0;
292         int vrefp;
293         int vrefn;
294 };
295
296 struct pin_mux {
297         short reg_offset;
298         uint8_t val;
299 };
300
301 #define PAD_CTRL_BASE   0x800
302 #define OFFSET(x)       (unsigned int) (&((struct pad_signals *) \
303                                 (PAD_CTRL_BASE))->x)
304
305 /*
306  * Configure the pin mux for the module
307  */
308 static inline void tx48_set_pin_mux(const struct pin_mux *pin_mux,
309                         int num_pins)
310 {
311         int i;
312
313         for (i = 0; i < num_pins; i++)
314                 writel(pin_mux[i].val, CTRL_BASE + pin_mux[i].reg_offset);
315 }
316
317 #define PRM_RSTST_GLOBAL_COLD_RST       (1 << 0)
318 #define PRM_RSTST_GLOBAL_WARM_SW_RST    (1 << 1)
319 #define PRM_RSTST_WDT1_RST              (1 << 4)
320 #define PRM_RSTST_EXTERNAL_WARM_RST     (1 << 5)
321 #define PRM_RSTST_ICEPICK_RST           (1 << 9)
322
323 static u32 prm_rstst __attribute__((section(".data")));
324
325 /*
326  * Basic board specific setup
327  */
328 static const struct pin_mux tx48_pads[] = {
329         { OFFSET(i2c0_sda), MODE(7) | RXACTIVE | PULLUDEN | PULLUP_EN, },
330         { OFFSET(i2c0_scl), MODE(7) | RXACTIVE | PULLUDEN | PULLUP_EN, },
331 };
332
333 static const struct pin_mux tx48_i2c_pads[] = {
334         { OFFSET(i2c0_sda), MODE(0) | RXACTIVE | PULLUDEN | PULLUP_EN, },
335         { OFFSET(i2c0_scl), MODE(0) | RXACTIVE | PULLUDEN | PULLUP_EN, },
336 };
337
338 static const struct gpio tx48_gpios[] = {
339         { AM33XX_GPIO_NR(3, 5), GPIOF_INPUT, "I2C1_SDA", },
340         { AM33XX_GPIO_NR(3, 6), GPIOF_INPUT, "I2C1_SCL", },
341 };
342
343 static const struct pin_mux stk5_pads[] = {
344         /* heartbeat LED */
345         { OFFSET(gpmc_a10), MODE(7) | PULLUDEN, },
346         /* LCD RESET */
347         { OFFSET(gpmc_a3), MODE(7) | PULLUDEN, },
348         /* LCD POWER_ENABLE */
349         { OFFSET(gpmc_a6), MODE(7) | PULLUDEN, },
350         /* LCD Backlight (PWM) */
351         { OFFSET(mcasp0_aclkx), MODE(7) | PULLUDEN, },
352         /* MMC CD */
353         { OFFSET(mcasp0_fsx), MODE(7) | PULLUDEN | PULLUP_EN, },
354 };
355
356 static const struct gpio stk5_gpios[] = {
357         { TX48_LED_GPIO, GPIOF_OUTPUT_INIT_LOW, "HEARTBEAT LED", },
358         { TX48_MMC_CD_GPIO, GPIOF_INPUT, "MMC0 CD", },
359 };
360
361 static const struct pin_mux stk5_lcd_pads[] = {
362         /* LCD data bus */
363         { OFFSET(lcd_data0), MODE(0) | PULLUDEN, },
364         { OFFSET(lcd_data1), MODE(0) | PULLUDEN, },
365         { OFFSET(lcd_data2), MODE(0) | PULLUDEN, },
366         { OFFSET(lcd_data3), MODE(0) | PULLUDEN, },
367         { OFFSET(lcd_data4), MODE(0) | PULLUDEN, },
368         { OFFSET(lcd_data5), MODE(0) | PULLUDEN, },
369         { OFFSET(lcd_data6), MODE(0) | PULLUDEN, },
370         { OFFSET(lcd_data7), MODE(0) | PULLUDEN, },
371         { OFFSET(lcd_data8), MODE(0) | PULLUDEN, },
372         { OFFSET(lcd_data9), MODE(0) | PULLUDEN, },
373         { OFFSET(lcd_data10), MODE(0) | PULLUDEN, },
374         { OFFSET(lcd_data11), MODE(0) | PULLUDEN, },
375         { OFFSET(lcd_data12), MODE(0) | PULLUDEN, },
376         { OFFSET(lcd_data13), MODE(0) | PULLUDEN, },
377         { OFFSET(lcd_data14), MODE(0) | PULLUDEN, },
378         { OFFSET(lcd_data15), MODE(0) | PULLUDEN, },
379         /* LCD control signals */
380         { OFFSET(lcd_hsync), MODE(0) | PULLUDEN, },
381         { OFFSET(lcd_vsync), MODE(0) | PULLUDEN, },
382         { OFFSET(lcd_pclk), MODE(0) | PULLUDEN, },
383         { OFFSET(lcd_ac_bias_en), MODE(0) | PULLUDEN, },
384 };
385
386 static const struct gpio stk5_lcd_gpios[] = {
387         { AM33XX_GPIO_NR(1, 19), GPIOF_OUTPUT_INIT_LOW, "LCD RESET", },
388         { AM33XX_GPIO_NR(1, 22), GPIOF_OUTPUT_INIT_LOW, "LCD POWER", },
389         { AM33XX_GPIO_NR(3, 14), GPIOF_OUTPUT_INIT_HIGH, "LCD BACKLIGHT", },
390 };
391
392 static const struct pin_mux stk5v5_pads[] = {
393         /* CAN transceiver control */
394         { OFFSET(gpmc_ad8), MODE(7) | PULLUDEN, },
395 };
396
397 static const struct gpio stk5v5_gpios[] = {
398         { AM33XX_GPIO_NR(0, 22), GPIOF_OUTPUT_INIT_HIGH, "CAN XCVR", },
399 };
400
401 #ifdef CONFIG_LCD
402 static u16 tx48_cmap[256];
403 vidinfo_t panel_info = {
404         /* set to max. size supported by SoC */
405         .vl_col = 1366,
406         .vl_row = 768,
407
408         .vl_bpix = LCD_COLOR24,    /* Bits per pixel, 0: 1bpp, 1: 2bpp, 2: 4bpp, 3: 8bpp ... */
409         .cmap = tx48_cmap,
410 };
411
412 #define FB_SYNC_OE_LOW_ACT      (1 << 31)
413 #define FB_SYNC_CLK_LAT_FALL    (1 << 30)
414
415 static struct fb_videomode tx48_fb_modes[] = {
416         {
417                 /* Standard VGA timing */
418                 .name           = "VGA",
419                 .refresh        = 60,
420                 .xres           = 640,
421                 .yres           = 480,
422                 .pixclock       = KHZ2PICOS(25175),
423                 .left_margin    = 48,
424                 .hsync_len      = 96,
425                 .right_margin   = 16,
426                 .upper_margin   = 31,
427                 .vsync_len      = 2,
428                 .lower_margin   = 12,
429                 .sync           = FB_SYNC_CLK_LAT_FALL,
430         },
431         {
432                 /* Emerging ETV570 640 x 480 display. Syncs low active,
433                  * DE high active, 115.2 mm x 86.4 mm display area
434                  * VGA compatible timing
435                  */
436                 .name           = "ETV570",
437                 .refresh        = 60,
438                 .xres           = 640,
439                 .yres           = 480,
440                 .pixclock       = KHZ2PICOS(25175),
441                 .left_margin    = 114,
442                 .hsync_len      = 30,
443                 .right_margin   = 16,
444                 .upper_margin   = 32,
445                 .vsync_len      = 3,
446                 .lower_margin   = 10,
447                 .sync           = FB_SYNC_CLK_LAT_FALL,
448         },
449         {
450                 /* Emerging ET0350G0DH6 320 x 240 display.
451                  * 70.08 mm x 52.56 mm display area.
452                  */
453                 .name           = "ET0350",
454                 .refresh        = 60,
455                 .xres           = 320,
456                 .yres           = 240,
457                 .pixclock       = KHZ2PICOS(6500),
458                 .left_margin    = 68 - 34,
459                 .hsync_len      = 34,
460                 .right_margin   = 20,
461                 .upper_margin   = 18 - 3,
462                 .vsync_len      = 3,
463                 .lower_margin   = 4,
464                 .sync           = FB_SYNC_CLK_LAT_FALL,
465         },
466         {
467                 /* Emerging ET0430G0DH6 480 x 272 display.
468                  * 95.04 mm x 53.856 mm display area.
469                  */
470                 .name           = "ET0430",
471                 .refresh        = 60,
472                 .xres           = 480,
473                 .yres           = 272,
474                 .pixclock       = KHZ2PICOS(9000),
475                 .left_margin    = 2,
476                 .hsync_len      = 41,
477                 .right_margin   = 2,
478                 .upper_margin   = 2,
479                 .vsync_len      = 10,
480                 .lower_margin   = 2,
481         },
482         {
483                 /* Emerging ET0500G0DH6 800 x 480 display.
484                  * 109.6 mm x 66.4 mm display area.
485                  */
486                 .name           = "ET0500",
487                 .refresh        = 60,
488                 .xres           = 800,
489                 .yres           = 480,
490                 .pixclock       = KHZ2PICOS(33260),
491                 .left_margin    = 216 - 128,
492                 .hsync_len      = 128,
493                 .right_margin   = 1056 - 800 - 216,
494                 .upper_margin   = 35 - 2,
495                 .vsync_len      = 2,
496                 .lower_margin   = 525 - 480 - 35,
497                 .sync           = FB_SYNC_CLK_LAT_FALL,
498         },
499         {
500                 /* Emerging ETQ570G0DH6 320 x 240 display.
501                  * 115.2 mm x 86.4 mm display area.
502                  */
503                 .name           = "ETQ570",
504                 .refresh        = 60,
505                 .xres           = 320,
506                 .yres           = 240,
507                 .pixclock       = KHZ2PICOS(6400),
508                 .left_margin    = 38,
509                 .hsync_len      = 30,
510                 .right_margin   = 30,
511                 .upper_margin   = 16, /* 15 according to datasheet */
512                 .vsync_len      = 3, /* TVP -> 1>x>5 */
513                 .lower_margin   = 4, /* 4.5 according to datasheet */
514                 .sync           = FB_SYNC_CLK_LAT_FALL,
515         },
516         {
517                 /* Emerging ET0700G0DH6 800 x 480 display.
518                  * 152.4 mm x 91.44 mm display area.
519                  */
520                 .name           = "ET0700",
521                 .refresh        = 60,
522                 .xres           = 800,
523                 .yres           = 480,
524                 .pixclock       = KHZ2PICOS(33260),
525                 .left_margin    = 216 - 128,
526                 .hsync_len      = 128,
527                 .right_margin   = 1056 - 800 - 216,
528                 .upper_margin   = 35 - 2,
529                 .vsync_len      = 2,
530                 .lower_margin   = 525 - 480 - 35,
531                 .sync           = FB_SYNC_CLK_LAT_FALL,
532         },
533         {
534                 /* unnamed entry for assigning parameters parsed from 'video_mode' string */
535                 .refresh        = 60,
536                 .left_margin    = 48,
537                 .hsync_len      = 96,
538                 .right_margin   = 16,
539                 .upper_margin   = 31,
540                 .vsync_len      = 2,
541                 .lower_margin   = 12,
542                 .sync           = FB_SYNC_CLK_LAT_FALL,
543         },
544 };
545
546 void *lcd_base;                 /* Start of framebuffer memory  */
547 void *lcd_console_address;      /* Start of console buffer      */
548
549 int lcd_color_fg;
550 int lcd_color_bg;
551
552 short console_col;
553 short console_row;
554
555 static int lcd_enabled = 1;
556 static int lcd_bl_polarity;
557
558 static int lcd_backlight_polarity(void)
559 {
560         return lcd_bl_polarity;
561 }
562
563 void lcd_initcolregs(void)
564 {
565 }
566
567 void lcd_setcolreg(ushort regno, ushort red, ushort green, ushort blue)
568 {
569 }
570
571 void lcd_enable(void)
572 {
573         /* HACK ALERT:
574          * global variable from common/lcd.c
575          * Set to 0 here to prevent messages from going to LCD
576          * rather than serial console
577          */
578         lcd_is_enabled = 0;
579
580         if (lcd_enabled) {
581                 karo_load_splashimage(1);
582
583                 debug("Switching LCD on\n");
584                 gpio_set_value(TX48_LCD_PWR_GPIO, 1);
585                 udelay(100);
586                 gpio_set_value(TX48_LCD_RST_GPIO, 1);
587                 udelay(300000);
588                 gpio_set_value(TX48_LCD_BACKLIGHT_GPIO,
589                         lcd_backlight_polarity());
590         }
591 }
592
593 void lcd_disable(void)
594 {
595         if (lcd_enabled) {
596                 printf("Disabling LCD\n");
597                 da8xx_fb_disable();
598                 lcd_enabled = 0;
599         }
600 }
601
602 static void tx48_lcd_panel_setup(struct da8xx_panel *p,
603                                 struct fb_videomode *fb)
604 {
605         p->pxl_clk = PICOS2KHZ(fb->pixclock) * 1000;
606
607         p->width = fb->xres;
608         p->hbp = fb->left_margin;
609         p->hsw = fb->hsync_len;
610         p->hfp = fb->right_margin;
611
612         p->height = fb->yres;
613         p->vbp = fb->upper_margin;
614         p->vsw = fb->vsync_len;
615         p->vfp = fb->lower_margin;
616
617         p->invert_pxl_clk = !!(fb->sync & FB_SYNC_CLK_LAT_FALL);
618 }
619
620 void lcd_panel_disable(void)
621 {
622         if (lcd_enabled) {
623                 debug("Switching LCD off\n");
624                 gpio_set_value(TX48_LCD_BACKLIGHT_GPIO,
625                         !lcd_backlight_polarity());
626                 gpio_set_value(TX48_LCD_PWR_GPIO, 0);
627                 gpio_set_value(TX48_LCD_RST_GPIO, 0);
628         }
629 }
630
631 void lcd_ctrl_init(void *lcdbase)
632 {
633         int color_depth = 24;
634         const char *video_mode = karo_get_vmode(getenv("video_mode"));
635         const char *vm;
636         unsigned long val;
637         int refresh = 60;
638         struct fb_videomode *p = &tx48_fb_modes[0];
639         struct fb_videomode fb_mode;
640         int xres_set = 0, yres_set = 0, bpp_set = 0, refresh_set = 0;
641
642         if (!lcd_enabled) {
643                 debug("LCD disabled\n");
644                 return;
645         }
646
647         if (had_ctrlc() || (prm_rstst & PRM_RSTST_WDT1_RST)) {
648                 debug("Disabling LCD\n");
649                 lcd_enabled = 0;
650                 setenv("splashimage", NULL);
651                 return;
652         }
653
654         karo_fdt_move_fdt();
655         lcd_bl_polarity = karo_fdt_get_backlight_polarity(working_fdt);
656
657         if (video_mode == NULL) {
658                 debug("Disabling LCD\n");
659                 lcd_enabled = 0;
660                 return;
661         }
662         vm = video_mode;
663         if (karo_fdt_get_fb_mode(working_fdt, video_mode, &fb_mode) == 0) {
664                 p = &fb_mode;
665                 debug("Using video mode from FDT\n");
666                 vm += strlen(vm);
667                 if (fb_mode.xres > panel_info.vl_col ||
668                         fb_mode.yres > panel_info.vl_row) {
669                         printf("video resolution from DT: %dx%d exceeds hardware limits: %dx%d\n",
670                                 fb_mode.xres, fb_mode.yres,
671                                 panel_info.vl_col, panel_info.vl_row);
672                         lcd_enabled = 0;
673                         return;
674                 }
675         }
676         if (p->name != NULL)
677                 debug("Trying compiled-in video modes\n");
678         while (p->name != NULL) {
679                 if (strcmp(p->name, vm) == 0) {
680                         debug("Using video mode: '%s'\n", p->name);
681                         vm += strlen(vm);
682                         break;
683                 }
684                 p++;
685         }
686         if (*vm != '\0')
687                 debug("Trying to decode video_mode: '%s'\n", vm);
688         while (*vm != '\0') {
689                 if (*vm >= '0' && *vm <= '9') {
690                         char *end;
691
692                         val = simple_strtoul(vm, &end, 0);
693                         if (end > vm) {
694                                 if (!xres_set) {
695                                         if (val > panel_info.vl_col)
696                                                 val = panel_info.vl_col;
697                                         p->xres = val;
698                                         panel_info.vl_col = val;
699                                         xres_set = 1;
700                                 } else if (!yres_set) {
701                                         if (val > panel_info.vl_row)
702                                                 val = panel_info.vl_row;
703                                         p->yres = val;
704                                         panel_info.vl_row = val;
705                                         yres_set = 1;
706                                 } else if (!bpp_set) {
707                                         switch (val) {
708                                         case 24:
709                                         case 16:
710                                         case 8:
711                                                 color_depth = val;
712                                                 break;
713
714                                         default:
715                                                 printf("Invalid color depth: '%.*s' in video_mode; using default: '%u'\n",
716                                                         end - vm, vm, color_depth);
717                                         }
718                                         bpp_set = 1;
719                                 } else if (!refresh_set) {
720                                         refresh = val;
721                                         refresh_set = 1;
722                                 }
723                         }
724                         vm = end;
725                 }
726                 switch (*vm) {
727                 case '@':
728                         bpp_set = 1;
729                         /* fallthru */
730                 case '-':
731                         yres_set = 1;
732                         /* fallthru */
733                 case 'x':
734                         xres_set = 1;
735                         /* fallthru */
736                 case 'M':
737                 case 'R':
738                         vm++;
739                         break;
740
741                 default:
742                         if (*vm != '\0')
743                                 vm++;
744                 }
745         }
746         if (p->xres == 0 || p->yres == 0) {
747                 printf("Invalid video mode: %s\n", getenv("video_mode"));
748                 lcd_enabled = 0;
749                 printf("Supported video modes are:");
750                 for (p = &tx48_fb_modes[0]; p->name != NULL; p++) {
751                         printf(" %s", p->name);
752                 }
753                 printf("\n");
754                 return;
755         }
756         if (p->xres > panel_info.vl_col || p->yres > panel_info.vl_row) {
757                 printf("video resolution: %dx%d exceeds hardware limits: %dx%d\n",
758                         p->xres, p->yres, panel_info.vl_col, panel_info.vl_row);
759                 lcd_enabled = 0;
760                 return;
761         }
762         panel_info.vl_col = p->xres;
763         panel_info.vl_row = p->yres;
764
765         switch (color_depth) {
766         case 8:
767                 panel_info.vl_bpix = LCD_COLOR8;
768                 break;
769         case 16:
770                 panel_info.vl_bpix = LCD_COLOR16;
771                 break;
772         default:
773                 panel_info.vl_bpix = LCD_COLOR24;
774         }
775
776         p->pixclock = KHZ2PICOS(refresh *
777                 (p->xres + p->left_margin + p->right_margin + p->hsync_len) *
778                 (p->yres + p->upper_margin + p->lower_margin + p->vsync_len)
779                 / 1000);
780         debug("Pixel clock set to %lu.%03lu MHz\n",
781                 PICOS2KHZ(p->pixclock) / 1000,
782                 PICOS2KHZ(p->pixclock) % 1000);
783
784         if (p != &fb_mode) {
785                 int ret;
786
787                 debug("Creating new display-timing node from '%s'\n",
788                         video_mode);
789                 ret = karo_fdt_create_fb_mode(working_fdt, video_mode, p);
790                 if (ret)
791                         printf("Failed to create new display-timing node from '%s': %d\n",
792                                 video_mode, ret);
793         }
794
795         gpio_request_array(stk5_lcd_gpios, ARRAY_SIZE(stk5_lcd_gpios));
796         tx48_set_pin_mux(stk5_lcd_pads, ARRAY_SIZE(stk5_lcd_pads));
797
798         if (karo_load_splashimage(0) == 0) {
799                 struct da8xx_panel da8xx_panel = { };
800
801                 debug("Initializing FB driver\n");
802                 tx48_lcd_panel_setup(&da8xx_panel, p);
803                 da8xx_video_init(&da8xx_panel, color_depth);
804
805                 debug("Initializing LCD controller\n");
806                 video_hw_init();
807         } else {
808                 debug("Skipping initialization of LCD controller\n");
809         }
810 }
811 #else
812 #define lcd_enabled 0
813 #endif /* CONFIG_LCD */
814
815 static void stk5_board_init(void)
816 {
817         gpio_request_array(stk5_gpios, ARRAY_SIZE(stk5_gpios));
818         tx48_set_pin_mux(stk5_pads, ARRAY_SIZE(stk5_pads));
819 }
820
821 static void stk5v3_board_init(void)
822 {
823         stk5_board_init();
824 }
825
826 static void stk5v5_board_init(void)
827 {
828         stk5_board_init();
829
830         gpio_request_array(stk5v5_gpios, ARRAY_SIZE(stk5v5_gpios));
831         tx48_set_pin_mux(stk5v5_pads, ARRAY_SIZE(stk5v5_pads));
832 }
833
834 /* called with default environment! */
835 int board_init(void)
836 {
837         int i;
838
839         /* mach type passed to kernel */
840 #ifdef CONFIG_OF_LIBFDT
841         gd->bd->bi_arch_number = -1;
842 #endif
843         /* address of boot parameters */
844         gd->bd->bi_boot_params = PHYS_SDRAM_1 + 0x100;
845
846         if (ctrlc())
847                 printf("CTRL-C detected\n");
848
849         gpio_request_array(tx48_gpios, ARRAY_SIZE(tx48_gpios));
850         tx48_set_pin_mux(tx48_pads, ARRAY_SIZE(tx48_pads));
851
852         for (i = 0; i < ARRAY_SIZE(tx48_gpios); i++) {
853                 int gpio = tx48_gpios[i].gpio;
854
855                 if (gpio_get_value(gpio) == 0)
856                         gpio_direction_output(gpio, 1);
857         }
858
859         tx48_set_pin_mux(tx48_pads, ARRAY_SIZE(tx48_i2c_pads));
860         return 0;
861 }
862
863 static void show_reset_cause(u32 prm_rstst)
864 {
865         const char *dlm = "";
866
867         printf("RESET cause: ");
868         if (prm_rstst & PRM_RSTST_GLOBAL_COLD_RST) {
869                 printf("%sPOR", dlm);
870                 dlm = " | ";
871         }
872         if (prm_rstst & PRM_RSTST_GLOBAL_WARM_SW_RST) {
873                 printf("%sSW", dlm);
874                 dlm = " | ";
875         }
876         if (prm_rstst & PRM_RSTST_WDT1_RST) {
877                 printf("%sWATCHDOG", dlm);
878                 dlm = " | ";
879         }
880         if (prm_rstst & PRM_RSTST_EXTERNAL_WARM_RST) {
881                 printf("%sWARM", dlm);
882                 dlm = " | ";
883         }
884         if (prm_rstst & PRM_RSTST_ICEPICK_RST) {
885                 printf("%sJTAG", dlm);
886                 dlm = " | ";
887         }
888         if (*dlm == '\0')
889                 printf("unknown");
890
891         printf(" RESET\n");
892 }
893
894 /* called with default environment! */
895 int checkboard(void)
896 {
897         prm_rstst = readl(PRM_RSTST);
898         show_reset_cause(prm_rstst);
899
900         printf("Board: Ka-Ro TX48-7020\n");
901
902         timer_init();
903         return 0;
904 }
905
906 static void tx48_set_cpu_clock(void)
907 {
908         unsigned long cpu_clk = getenv_ulong("cpu_clk", 10, 0);
909         unsigned long act_cpu_clk;
910
911         if (cpu_clk == 0 || cpu_clk == mpu_clk_rate() / 1000000)
912                 return;
913
914         if (had_ctrlc() || (prm_rstst & PRM_RSTST_WDT1_RST)) {
915                 if (prm_rstst & PRM_RSTST_WDT1_RST) {
916                         printf("Watchdog reset detected; skipping cpu clock change\n");
917                 } else {
918                         printf("<CTRL-C> detected; skipping cpu clock change\n");
919                 }
920                 return;
921         }
922
923         mpu_pll_config_val(cpu_clk);
924
925         act_cpu_clk = mpu_clk_rate();
926         if (cpu_clk * 1000000 != act_cpu_clk) {
927                 printf("Failed to set CPU clock to %lu MHz; using %lu.%03lu MHz instead\n",
928                         cpu_clk, act_cpu_clk / 1000000,
929                         act_cpu_clk / 1000 % 1000);
930         } else {
931                 printf("CPU clock set to %lu.%03lu MHz\n",
932                         act_cpu_clk / 1000000, act_cpu_clk / 1000 % 1000);
933         }
934 }
935
936 static void tx48_init_mac(void)
937 {
938         uint8_t mac_addr[ETH_ALEN];
939         uint32_t mac_hi, mac_lo;
940
941         /* try reading mac address from efuse */
942         mac_lo = __raw_readl(MAC_ID0_LO);
943         mac_hi = __raw_readl(MAC_ID0_HI);
944
945         mac_addr[0] = mac_hi & 0xFF;
946         mac_addr[1] = (mac_hi & 0xFF00) >> 8;
947         mac_addr[2] = (mac_hi & 0xFF0000) >> 16;
948         mac_addr[3] = (mac_hi & 0xFF000000) >> 24;
949         mac_addr[4] = mac_lo & 0xFF;
950         mac_addr[5] = (mac_lo & 0xFF00) >> 8;
951
952         if (!is_valid_ether_addr(mac_addr)) {
953                 printf("No valid MAC address programmed\n");
954                 return;
955         }
956         printf("MAC addr from fuse: %pM\n", mac_addr);
957         eth_setenv_enetaddr("ethaddr", mac_addr);
958 }
959
960 /* called with environment from NAND or MMC */
961 int board_late_init(void)
962 {
963         int ret = 0;
964         const char *baseboard;
965
966         tx48_set_cpu_clock();
967         karo_fdt_move_fdt();
968
969         baseboard = getenv("baseboard");
970         if (!baseboard)
971                 goto exit;
972
973         if (strncmp(baseboard, "stk5", 4) == 0) {
974                 printf("Baseboard: %s\n", baseboard);
975                 if ((strlen(baseboard) == 4) ||
976                         strcmp(baseboard, "stk5-v3") == 0) {
977                         stk5v3_board_init();
978                 } else if (strcmp(baseboard, "stk5-v5") == 0) {
979                         stk5v5_board_init();
980                 } else {
981                         printf("WARNING: Unsupported STK5 board rev.: %s\n",
982                                 baseboard + 4);
983                 }
984         } else {
985                 printf("WARNING: Unsupported baseboard: '%s'\n",
986                         baseboard);
987                 ret = -EINVAL;
988         }
989
990 exit:
991         tx48_init_mac();
992         clear_ctrlc();
993         return ret;
994 }
995
996 #ifdef CONFIG_DRIVER_TI_CPSW
997 static void tx48_phy_init(char *name, int addr)
998 {
999         debug("%s: Resetting ethernet PHY\n", __func__);
1000
1001         gpio_direction_output(TX48_ETH_PHY_RST_GPIO, 0);
1002
1003         udelay(100);
1004
1005         /* Release nRST */
1006         gpio_set_value(TX48_ETH_PHY_RST_GPIO, 1);
1007
1008         /* Wait for PHY internal POR signal to deassert */
1009         udelay(25000);
1010 }
1011
1012 static void cpsw_control(int enabled)
1013 {
1014         /* nothing for now */
1015         /* TODO : VTP was here before */
1016 }
1017
1018 static struct cpsw_slave_data cpsw_slaves[] = {
1019         {
1020                 .slave_reg_ofs  = 0x208,
1021                 .sliver_reg_ofs = 0xd80,
1022                 .phy_id         = 0,
1023                 .phy_if         = PHY_INTERFACE_MODE_RMII,
1024         },
1025 };
1026
1027 void s_init(void)
1028 {
1029         /* Nothing to be done here */
1030 }
1031
1032 static struct cpsw_platform_data cpsw_data = {
1033         .mdio_base              = CPSW_MDIO_BASE,
1034         .cpsw_base              = CPSW_BASE,
1035         .mdio_div               = 0xff,
1036         .channels               = 8,
1037         .cpdma_reg_ofs          = 0x800,
1038         .slaves                 = ARRAY_SIZE(cpsw_slaves),
1039         .slave_data             = cpsw_slaves,
1040         .ale_reg_ofs            = 0xd00,
1041         .ale_entries            = 1024,
1042         .host_port_reg_ofs      = 0x108,
1043         .hw_stats_reg_ofs       = 0x900,
1044         .mac_control            = (1 << 5) /* MIIEN */,
1045         .control                = cpsw_control,
1046         .phy_init               = tx48_phy_init,
1047         .gigabit_en             = 0,
1048         .host_port_num          = 0,
1049         .version                = CPSW_CTRL_VERSION_2,
1050 };
1051
1052 int board_eth_init(bd_t *bis)
1053 {
1054         __raw_writel(RMII_MODE_ENABLE, MAC_MII_SEL);
1055         __raw_writel(0x5D, GMII_SEL);
1056         return cpsw_register(&cpsw_data);
1057 }
1058 #endif /* CONFIG_DRIVER_TI_CPSW */
1059
1060 #if defined(CONFIG_OMAP_HSMMC) && !defined(CONFIG_SPL_BUILD)
1061 int cpu_mmc_init(bd_t *bis)
1062 {
1063         return omap_mmc_init(1, 0, 0, TX48_MMC_CD_GPIO, -1);
1064 }
1065 #endif
1066
1067 void tx48_disable_watchdog(void)
1068 {
1069         struct wd_timer *wdtimer = (struct wd_timer *)WDT_BASE;
1070
1071         while (readl(&wdtimer->wdtwwps) & (1 << 4))
1072                 ;
1073         writel(0xaaaa, &wdtimer->wdtwspr);
1074         while (readl(&wdtimer->wdtwwps) & (1 << 4))
1075                 ;
1076         writel(0x5555, &wdtimer->wdtwspr);
1077 }
1078
1079 enum {
1080         LED_STATE_INIT = -1,
1081         LED_STATE_OFF,
1082         LED_STATE_ON,
1083 };
1084
1085 void show_activity(int arg)
1086 {
1087         static int led_state = LED_STATE_INIT;
1088         static ulong last;
1089
1090         if (led_state == LED_STATE_INIT) {
1091                 last = get_timer(0);
1092                 gpio_set_value(TX48_LED_GPIO, 1);
1093                 led_state = LED_STATE_ON;
1094         } else {
1095                 if (get_timer(last) > CONFIG_SYS_HZ) {
1096                         last = get_timer(0);
1097                         if (led_state == LED_STATE_ON) {
1098                                 gpio_set_value(TX48_LED_GPIO, 0);
1099                         } else {
1100                                 gpio_set_value(TX48_LED_GPIO, 1);
1101                         }
1102                         led_state = 1 - led_state;
1103                 }
1104         }
1105 }
1106
1107 #ifdef CONFIG_OF_BOARD_SETUP
1108 #ifdef CONFIG_FDT_FIXUP_PARTITIONS
1109 #include <jffs2/jffs2.h>
1110 #include <mtd_node.h>
1111 static struct node_info nodes[] = {
1112         { "ti,omap2-nand", MTD_DEV_TYPE_NAND, },
1113         { "ti,am3352-gpmc", MTD_DEV_TYPE_NAND, },
1114 };
1115
1116 #else
1117 #define fdt_fixup_mtdparts(b,n,c) do { } while (0)
1118 #endif /* CONFIG_FDT_FIXUP_PARTITIONS */
1119
1120 static const char *tx48_touchpanels[] = {
1121         "ti,tsc2007",
1122         "edt,edt-ft5x06",
1123         "ti,am3359-tscadc",
1124 };
1125
1126 void ft_board_setup(void *blob, bd_t *bd)
1127 {
1128         const char *baseboard = getenv("baseboard");
1129         int stk5_v5 = baseboard != NULL && (strcmp(baseboard, "stk5-v5") == 0);
1130         const char *video_mode = karo_get_vmode(getenv("video_mode"));
1131         int ret;
1132
1133         ret = fdt_increase_size(blob, 4096);
1134         if (ret)
1135                 printf("Failed to increase FDT size: %s\n", fdt_strerror(ret));
1136
1137         fdt_fixup_mtdparts(blob, nodes, ARRAY_SIZE(nodes));
1138         fdt_fixup_ethernet(blob);
1139
1140         karo_fdt_fixup_touchpanel(blob, tx48_touchpanels,
1141                                 ARRAY_SIZE(tx48_touchpanels));
1142         karo_fdt_fixup_usb_otg(blob, "usb0", "phys", "vcc-supply");
1143         karo_fdt_fixup_flexcan(blob, stk5_v5);
1144
1145         karo_fdt_update_fb_mode(blob, video_mode);
1146
1147         tx48_disable_watchdog();
1148 }
1149 #endif /* CONFIG_OF_BOARD_SETUP */