]> git.kernelconcepts.de Git - karo-tx-uboot.git/blob - drivers/input/i8042.c
x86: i8042: Correctly initialize the controller
[karo-tx-uboot.git] / drivers / input / i8042.c
1 /*
2  * (C) Copyright 2002 ELTEC Elektronik AG
3  * Frank Gottschling <fgottschling@eltec.de>
4  *
5  * SPDX-License-Identifier:     GPL-2.0+
6  */
7
8 /* i8042.c - Intel 8042 keyboard driver routines */
9
10 /* includes */
11
12 #include <common.h>
13 #include <asm/io.h>
14 #include <i8042.h>
15
16 /* defines */
17 #define in8(p)          inb(p)
18 #define out8(p, v)      outb(v, p)
19
20 #ifdef CONFIG_CONSOLE_CURSOR
21 extern void console_cursor(int state);
22 static int blink_count = CONFIG_SYS_CONSOLE_BLINK_COUNT;
23 static int cursor_state;
24 #endif
25
26 /* locals */
27
28 static int kbd_input = -1;              /* no input yet */
29 static int kbd_mapping = KBD_US;        /* default US keyboard */
30 static int kbd_flags = NORMAL;          /* after reset */
31 static int kbd_state;                   /* unshift code */
32
33 static unsigned char kbd_fct_map[144] = {
34         /* kbd_fct_map table for scan code */
35          0,  AS,  AS,  AS,  AS,  AS,  AS,  AS, /* scan 00-07 */
36         AS,  AS,  AS,  AS,  AS,  AS,  AS,  AS, /* scan 08-0F */
37         AS,  AS,  AS,  AS,  AS,  AS,  AS,  AS, /* scan 10-17 */
38         AS,  AS,  AS,  AS,  AS,  CN,  AS,  AS, /* scan 18-1F */
39         AS,  AS,  AS,  AS,  AS,  AS,  AS,  AS, /* scan 20-27 */
40         AS,  AS,  SH,  AS,  AS,  AS,  AS,  AS, /* scan 28-2F */
41         AS,  AS,  AS,  AS,  AS,  AS,  SH,  AS, /* scan 30-37 */
42         AS,  AS,  CP,   0,   0,   0,   0,   0, /* scan 38-3F */
43          0,   0,   0,   0,   0,  NM,  ST,  ES, /* scan 40-47 */
44         ES,  ES,  ES,  ES,  ES,  ES,  ES,  ES, /* scan 48-4F */
45         ES,  ES,  ES,  ES,   0,   0,  AS,   0, /* scan 50-57 */
46          0,   0,   0,   0,   0,   0,   0,   0, /* scan 58-5F */
47          0,   0,   0,   0,   0,   0,   0,   0, /* scan 60-67 */
48          0,   0,   0,   0,   0,   0,   0,   0, /* scan 68-6F */
49         AS,   0,   0,  AS,   0,   0,  AS,   0, /* scan 70-77 */
50          0,  AS,   0,   0,   0,  AS,   0,   0, /* scan 78-7F */
51         AS,  CN,  AS,  AS,  AK,  ST,  EX,  EX, /* enhanced */
52         AS,  EX,  EX,  AS,  EX,  AS,  EX,  EX  /* enhanced */
53         };
54
55 static unsigned char kbd_key_map[2][5][144] = {
56         { /* US keyboard */
57         { /* unshift code */
58            0, 0x1b,  '1',  '2',  '3',  '4',  '5',  '6', /* scan 00-07 */
59          '7',  '8',  '9',  '0',  '-',  '=', 0x08, '\t', /* scan 08-0F */
60          'q',  'w',  'e',  'r',  't',  'y',  'u',  'i', /* scan 10-17 */
61          'o',  'p',  '[',  ']', '\r',   CN,  'a',  's', /* scan 18-1F */
62          'd',  'f',  'g',  'h',  'j',  'k',  'l',  ';', /* scan 20-27 */
63         '\'',  '`',   SH, '\\',  'z',  'x',  'c',  'v', /* scan 28-2F */
64          'b',  'n',  'm',  ',',  '.',  '/',   SH,  '*', /* scan 30-37 */
65          ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
66            0,    0,    0,    0,    0,   NM,   ST,  '7', /* scan 40-47 */
67          '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1', /* scan 48-4F */
68          '2',  '3',  '0',  '.',    0,    0,    0,    0, /* scan 50-57 */
69            0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
70            0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
71            0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
72            0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
73            0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
74         '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
75            0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
76         },
77         { /* shift code */
78            0, 0x1b,  '!',  '@',  '#',  '$',  '%',  '^', /* scan 00-07 */
79          '&',  '*',  '(',  ')',  '_',  '+', 0x08, '\t', /* scan 08-0F */
80          'Q',  'W',  'E',  'R',  'T',  'Y',  'U',  'I', /* scan 10-17 */
81          'O',  'P',  '{',  '}', '\r',   CN,  'A',  'S', /* scan 18-1F */
82          'D',  'F',  'G',  'H',  'J',  'K',  'L',  ':', /* scan 20-27 */
83          '"',  '~',   SH,  '|',  'Z',  'X',  'C',  'V', /* scan 28-2F */
84          'B',  'N',  'M',  '<',  '>',  '?',   SH,  '*', /* scan 30-37 */
85          ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
86            0,    0,    0,    0,    0,   NM,   ST,  '7', /* scan 40-47 */
87          '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1', /* scan 48-4F */
88          '2',  '3',  '0',  '.',    0,    0,    0,    0, /* scan 50-57 */
89            0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
90            0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
91            0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
92            0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
93            0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
94         '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
95            0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
96         },
97         { /* control code */
98         0xff, 0x1b, 0xff, 0x00, 0xff, 0xff, 0xff, 0xff, /* scan 00-07 */
99         0x1e, 0xff, 0xff, 0xff, 0x1f, 0xff, 0xff, '\t', /* scan 08-0F */
100         0x11, 0x17, 0x05, 0x12, 0x14, 0x19, 0x15, 0x09, /* scan 10-17 */
101         0x0f, 0x10, 0x1b, 0x1d, '\r',   CN, 0x01, 0x13, /* scan 18-1F */
102         0x04, 0x06, 0x07, 0x08, 0x0a, 0x0b, 0x0c, 0xff, /* scan 20-27 */
103         0xff, 0x1c,   SH, 0xff, 0x1a, 0x18, 0x03, 0x16, /* scan 28-2F */
104         0x02, 0x0e, 0x0d, 0xff, 0xff, 0xff,   SH, 0xff, /* scan 30-37 */
105         0xff, 0xff,   CP, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
106         0xff, 0xff, 0xff, 0xff, 0xff,   NM,   ST, 0xff, /* scan 40-47 */
107         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 48-4F */
108         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 50-57 */
109         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 58-5F */
110         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 60-67 */
111         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 68-6F */
112         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 70-77 */
113         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 78-7F */
114         '\r',   CN,  '/',  '*',  ' ',   ST, 0xff, 0xff, /* extended */
115         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff  /* extended */
116         },
117         { /* non numeric code */
118            0, 0x1b,  '1',  '2',  '3',  '4',  '5',  '6', /* scan 00-07 */
119          '7',  '8',  '9',  '0',  '-',  '=', 0x08, '\t', /* scan 08-0F */
120          'q',  'w',  'e',  'r',  't',  'y',  'u',  'i', /* scan 10-17 */
121          'o',  'p',  '[',  ']', '\r',   CN,  'a',  's', /* scan 18-1F */
122          'd',  'f',  'g',  'h',  'j',  'k',  'l',  ';', /* scan 20-27 */
123         '\'',  '`',   SH, '\\',  'z',  'x',  'c',  'v', /* scan 28-2F */
124          'b',  'n',  'm',  ',',  '.',  '/',   SH,  '*', /* scan 30-37 */
125          ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
126            0,    0,    0,    0,    0,   NM,   ST,  'w', /* scan 40-47 */
127          'x',  'y',  'l',  't',  'u',  'v',  'm',  'q', /* scan 48-4F */
128          'r',  's',  'p',  'n',    0,    0,    0,    0, /* scan 50-57 */
129            0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
130            0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
131            0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
132            0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
133            0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
134         '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
135            0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
136         },
137         { /* right alt mode - not used in US keyboard */
138         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 00-07 */
139         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 08-0F */
140         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 10-17 */
141         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 18-1F */
142         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 20-27 */
143         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 28-2F */
144         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 30-37 */
145         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
146         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 40-47 */
147         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 48-4F */
148         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 50-57 */
149         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 58-5F */
150         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 60-67 */
151         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 68-6F */
152         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 70-77 */
153         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 78-7F */
154         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* extended */
155         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff  /* extended */
156         }
157         },
158         { /* German keyboard */
159         { /* unshift code */
160            0, 0x1b,  '1',  '2',  '3',  '4',  '5',  '6', /* scan 00-07 */
161          '7',  '8',  '9',  '0', 0xe1, '\'', 0x08, '\t', /* scan 08-0F */
162          'q',  'w',  'e',  'r',  't',  'z',  'u',  'i', /* scan 10-17 */
163          'o',  'p', 0x81,  '+', '\r',   CN,  'a',  's', /* scan 18-1F */
164          'd',  'f',  'g',  'h',  'j',  'k',  'l', 0x94, /* scan 20-27 */
165         0x84,  '^',   SH,  '#',  'y',  'x',  'c',  'v', /* scan 28-2F */
166          'b',  'n',  'm',  ',',  '.',  '-',   SH,  '*', /* scan 30-37 */
167          ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
168            0,    0,    0,    0,    0,   NM,   ST,  '7', /* scan 40-47 */
169          '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1', /* scan 48-4F */
170          '2',  '3',  '0',  ',',    0,    0,  '<',    0, /* scan 50-57 */
171            0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
172            0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
173            0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
174            0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
175            0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
176         '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
177            0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
178         },
179         { /* shift code */
180            0, 0x1b,  '!',  '"', 0x15,  '$',  '%',  '&', /* scan 00-07 */
181          '/',  '(',  ')',  '=',  '?',  '`', 0x08, '\t', /* scan 08-0F */
182          'Q',  'W',  'E',  'R',  'T',  'Z',  'U',  'I', /* scan 10-17 */
183          'O',  'P', 0x9a,  '*', '\r',   CN,  'A',  'S', /* scan 18-1F */
184          'D',  'F',  'G',  'H',  'J',  'K',  'L', 0x99, /* scan 20-27 */
185         0x8e, 0xf8,   SH, '\'',  'Y',  'X',  'C',  'V', /* scan 28-2F */
186          'B',  'N',  'M',  ';',  ':',  '_',   SH,  '*', /* scan 30-37 */
187          ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
188            0,    0,    0,    0,    0,   NM,   ST,  '7', /* scan 40-47 */
189          '8',  '9',  '-',  '4',  '5',  '6',  '+',  '1', /* scan 48-4F */
190          '2',  '3',  '0',  ',',    0,    0,  '>',    0, /* scan 50-57 */
191            0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
192            0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
193            0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
194            0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
195            0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
196         '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
197            0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
198         },
199         { /* control code */
200         0xff, 0x1b, 0xff, 0x00, 0xff, 0xff, 0xff, 0xff, /* scan 00-07 */
201         0x1e, 0xff, 0xff, 0xff, 0x1f, 0xff, 0xff, '\t', /* scan 08-0F */
202         0x11, 0x17, 0x05, 0x12, 0x14, 0x19, 0x15, 0x09, /* scan 10-17 */
203         0x0f, 0x10, 0x1b, 0x1d, '\r',   CN, 0x01, 0x13, /* scan 18-1F */
204         0x04, 0x06, 0x07, 0x08, 0x0a, 0x0b, 0x0c, 0xff, /* scan 20-27 */
205         0xff, 0x1c,   SH, 0xff, 0x1a, 0x18, 0x03, 0x16, /* scan 28-2F */
206         0x02, 0x0e, 0x0d, 0xff, 0xff, 0xff,   SH, 0xff, /* scan 30-37 */
207         0xff, 0xff,   CP, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
208         0xff, 0xff, 0xff, 0xff, 0xff,   NM,   ST, 0xff, /* scan 40-47 */
209         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 48-4F */
210         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 50-57 */
211         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 58-5F */
212         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 60-67 */
213         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 68-6F */
214         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 70-77 */
215         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 78-7F */
216         '\r',   CN,  '/',  '*',  ' ',   ST, 0xff, 0xff, /* extended */
217         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff  /* extended */
218         },
219         { /* non numeric code */
220            0, 0x1b,  '1',  '2',  '3',  '4',  '5',  '6', /* scan 00-07 */
221          '7',  '8',  '9',  '0', 0xe1, '\'', 0x08, '\t', /* scan 08-0F */
222          'q',  'w',  'e',  'r',  't',  'z',  'u',  'i', /* scan 10-17 */
223          'o',  'p', 0x81,  '+', '\r',   CN,  'a',  's', /* scan 18-1F */
224          'd',  'f',  'g',  'h',  'j',  'k',  'l', 0x94, /* scan 20-27 */
225         0x84,  '^',   SH,    0,  'y',  'x',  'c',  'v', /* scan 28-2F */
226          'b',  'n',  'm',  ',',  '.',  '-',   SH,  '*', /* scan 30-37 */
227          ' ',  ' ',   CP,    0,    0,    0,    0,    0, /* scan 38-3F */
228            0,    0,    0,    0,    0,   NM,   ST,  'w', /* scan 40-47 */
229          'x',  'y',  'l',  't',  'u',  'v',  'm',  'q', /* scan 48-4F */
230          'r',  's',  'p',  'n',    0,    0,  '<',    0, /* scan 50-57 */
231            0,    0,    0,    0,    0,    0,    0,    0, /* scan 58-5F */
232            0,    0,    0,    0,    0,    0,    0,    0, /* scan 60-67 */
233            0,    0,    0,    0,    0,    0,    0,    0, /* scan 68-6F */
234            0,    0,    0,    0,    0,    0,    0,    0, /* scan 70-77 */
235            0,    0,    0,    0,    0,    0,    0,    0, /* scan 78-7F */
236         '\r',   CN,  '/',  '*',  ' ',   ST,  'F',  'A', /* extended */
237            0,  'D',  'C',    0,  'B',    0,  '@',  'P'  /* extended */
238         },
239         { /* right alt mode - is used in German keyboard */
240         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 00-07 */
241          '{',  '[',  ']',  '}', '\\', 0xff, 0xff, 0xff, /* scan 08-0F */
242          '@', 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 10-17 */
243         0xff, 0xff, 0xff,  '~', 0xff, 0xff, 0xff, 0xff, /* scan 18-1F */
244         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 20-27 */
245         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 28-2F */
246         0xff, 0xff, 0xe6, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 30-37 */
247         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 38-3F */
248         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 40-47 */
249         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 48-4F */
250         0xff, 0xff, 0xff, 0xff, 0xff, 0xff,  '|', 0xff, /* scan 50-57 */
251         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 58-5F */
252         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 60-67 */
253         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 68-6F */
254         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 70-77 */
255         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* scan 78-7F */
256         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, /* extended */
257         0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff  /* extended */
258         }
259         }
260         };
261
262 static unsigned char ext_key_map[] = {
263         0x1c, /* keypad enter */
264         0x1d, /* right control */
265         0x35, /* keypad slash */
266         0x37, /* print screen */
267         0x38, /* right alt */
268         0x46, /* break */
269         0x47, /* editpad home */
270         0x48, /* editpad up */
271         0x49, /* editpad pgup */
272         0x4b, /* editpad left */
273         0x4d, /* editpad right */
274         0x4f, /* editpad end */
275         0x50, /* editpad dn */
276         0x51, /* editpad pgdn */
277         0x52, /* editpad ins */
278         0x53, /* editpad del */
279         0x00  /* map end */
280         };
281
282 static int kbd_input_empty(void)
283 {
284         int kbd_timeout = KBD_TIMEOUT * 1000;
285
286         while ((in8(I8042_STS_REG) & STATUS_IBF) && kbd_timeout--)
287                 udelay(1);
288
289         return kbd_timeout != -1;
290 }
291
292 static int kbd_output_full(void)
293 {
294         int kbd_timeout = KBD_TIMEOUT * 1000;
295
296         while (((in8(I8042_STS_REG) & STATUS_OBF) == 0) && kbd_timeout--)
297                 udelay(1);
298
299         return kbd_timeout != -1;
300 }
301
302 static void kbd_led_set(void)
303 {
304         kbd_input_empty();
305         out8(I8042_DATA_REG, CMD_SET_KBD_LED);
306         kbd_input_empty();
307         out8(I8042_DATA_REG, (kbd_flags & 0x7));
308 }
309
310 static void kbd_normal(unsigned char scan_code)
311 {
312         unsigned char chr;
313
314         if ((kbd_flags & BRK) == NORMAL) {
315                 chr = kbd_key_map[kbd_mapping][kbd_state][scan_code];
316                 if ((chr == 0xff) || (chr == 0x00))
317                         return;
318
319                 /* if caps lock convert upper to lower */
320                 if (((kbd_flags & CAPS) == CAPS) &&
321                     (chr >= 'a' && chr <= 'z')) {
322                         chr -= 'a' - 'A';
323                 }
324                 kbd_input = chr;
325         }
326 }
327
328 static void kbd_shift(unsigned char scan_code)
329 {
330         if ((kbd_flags & BRK) == BRK) {
331                 kbd_state = AS;
332                 kbd_flags &= (~SHIFT);
333         } else {
334                 kbd_state = SH;
335                 kbd_flags |= SHIFT;
336         }
337 }
338
339 static void kbd_ctrl(unsigned char scan_code)
340 {
341         if ((kbd_flags & BRK) == BRK) {
342                 kbd_state = AS;
343                 kbd_flags &= (~CTRL);
344         } else {
345                 kbd_state = CN;
346                 kbd_flags |= CTRL;
347         }
348 }
349
350 static void kbd_num(unsigned char scan_code)
351 {
352         if ((kbd_flags & BRK) == NORMAL) {
353                 kbd_flags ^= NUM;
354                 kbd_state = (kbd_flags & NUM) ? AS : NM;
355                 kbd_led_set();
356         }
357 }
358
359 static void kbd_alt(unsigned char scan_code)
360 {
361         if ((kbd_flags & BRK) == BRK) {
362                 kbd_state = AS;
363                 kbd_flags &= (~ALT);
364         } else {
365                 kbd_state = AK;
366                 kbd_flags &= ALT;
367         }
368 }
369
370 static void kbd_caps(unsigned char scan_code)
371 {
372         if ((kbd_flags & BRK) == NORMAL) {
373                 kbd_flags ^= CAPS;
374                 kbd_led_set();
375         }
376 }
377
378 static void kbd_scroll(unsigned char scan_code)
379 {
380         if ((kbd_flags & BRK) == NORMAL) {
381                 kbd_flags ^= STP;
382                 kbd_led_set();
383                 if (kbd_flags & STP)
384                         kbd_input = 0x13;
385                 else
386                         kbd_input = 0x11;
387         }
388 }
389
390 static void kbd_conv_char(unsigned char scan_code)
391 {
392         if (scan_code == 0xe0) {
393                 kbd_flags |= EXT;
394                 return;
395         }
396
397         /* if high bit of scan_code, set break flag */
398         if (scan_code & 0x80)
399                 kbd_flags |=  BRK;
400         else
401                 kbd_flags &= ~BRK;
402
403         if ((scan_code == 0xe1) || (kbd_flags & E1)) {
404                 if (scan_code == 0xe1) {
405                         kbd_flags ^= BRK;       /* reset the break flag */
406                         kbd_flags ^= E1;        /* bitwise EXOR with E1 flag */
407                 }
408                 return;
409         }
410
411         scan_code &= 0x7f;
412
413         if (kbd_flags & EXT) {
414                 int i;
415
416                 kbd_flags ^= EXT;
417                 for (i = 0; ext_key_map[i]; i++) {
418                         if (ext_key_map[i] == scan_code) {
419                                 scan_code = 0x80 + i;
420                                 break;
421                         }
422                 }
423                 /* not found ? */
424                 if (!ext_key_map[i])
425                         return;
426         }
427
428         switch (kbd_fct_map[scan_code]) {
429         case AS:
430                 kbd_normal(scan_code);
431                 break;
432         case SH:
433                 kbd_shift(scan_code);
434                 break;
435         case CN:
436                 kbd_ctrl(scan_code);
437                 break;
438         case NM:
439                 kbd_num(scan_code);
440                 break;
441         case AK:
442                 kbd_alt(scan_code);
443                 break;
444         case CP:
445                 kbd_caps(scan_code);
446                 break;
447         case ST:
448                 kbd_scroll(scan_code);
449                 break;
450         }
451
452         return;
453 }
454
455 static int kbd_reset(void)
456 {
457         u8 config;
458
459         /* controller self test */
460         if (kbd_input_empty() == 0)
461                 return -1;
462         out8(I8042_CMD_REG, CMD_SELF_TEST);
463         if (kbd_output_full() == 0)
464                 return -1;
465         if (in8(I8042_DATA_REG) != KBC_TEST_OK)
466                 return -1;
467
468         /* keyboard reset */
469         if (kbd_input_empty() == 0)
470                 return -1;
471         out8(I8042_DATA_REG, CMD_RESET_KBD);
472         if (kbd_output_full() == 0)
473                 return -1;
474         if (in8(I8042_DATA_REG) != KBD_ACK)
475                 return -1;
476         if (kbd_output_full() == 0)
477                 return -1;
478         if (in8(I8042_DATA_REG) != KBD_POR)
479                 return -1;
480
481         /* set AT translation and disable irq */
482         if (kbd_input_empty() == 0)
483                 return -1;
484         out8(I8042_CMD_REG, CMD_RD_CONFIG);
485         if (kbd_output_full() == 0)
486                 return -1;
487         config = in8(I8042_DATA_REG);
488         config |= CONFIG_AT_TRANS;
489         config &= ~(CONFIG_KIRQ_EN | CONFIG_MIRQ_EN);
490         if (kbd_input_empty() == 0)
491                 return -1;
492         out8(I8042_CMD_REG, CMD_WR_CONFIG);
493         if (kbd_input_empty() == 0)
494                 return -1;
495         out8(I8042_DATA_REG, config);
496
497         /* enable keyboard */
498         if (kbd_input_empty() == 0)
499                 return -1;
500         out8(I8042_CMD_REG, CMD_KBD_EN);
501         if (kbd_input_empty() == 0)
502                 return -1;
503
504         return 0;
505 }
506
507 static int kbd_controller_present(void)
508 {
509         return in8(I8042_STS_REG) != 0xff;
510 }
511
512 /*
513  * Implement a weak default function for boards that optionally
514  * need to skip the i8042 initialization.
515  */
516 int __weak board_i8042_skip(void)
517 {
518         /* As default, don't skip */
519         return 0;
520 }
521
522 void i8042_flush(void)
523 {
524         int timeout;
525
526         /*
527          * The delay is to give the keyboard controller some time
528          * to fill the next byte.
529          */
530         while (1) {
531                 timeout = 100;  /* wait for no longer than 100us */
532                 while (timeout > 0 && !(in8(I8042_STS_REG) & STATUS_OBF)) {
533                         udelay(1);
534                         timeout--;
535                 }
536
537                 /* Try to pull next byte if not timeout */
538                 if (in8(I8042_STS_REG) & STATUS_OBF)
539                         in8(I8042_DATA_REG);
540                 else
541                         break;
542         }
543 }
544
545 int i8042_disable(void)
546 {
547         if (kbd_input_empty() == 0)
548                 return -1;
549
550         /* Disable keyboard */
551         out8(I8042_CMD_REG, CMD_KBD_DIS);
552
553         if (kbd_input_empty() == 0)
554                 return -1;
555
556         return 0;
557 }
558
559 /* i8042_kbd_init - reset keyboard and init state flags */
560 int i8042_kbd_init(void)
561 {
562         int keymap, try;
563         char *penv;
564
565         if (!kbd_controller_present() || board_i8042_skip()) {
566                 debug("i8042 keyboard controller is not present\n");
567                 return -1;
568         }
569
570         /* Init keyboard device (default US layout) */
571         keymap = KBD_US;
572         penv = getenv("keymap");
573         if (penv != NULL) {
574                 if (strncmp(penv, "de", 3) == 0)
575                         keymap = KBD_GER;
576         }
577
578         for (try = 0; try < KBD_RESET_TRIES; try++) {
579                 if (kbd_reset() == 0) {
580                         kbd_mapping = keymap;
581                         kbd_flags   = NORMAL;
582                         kbd_state   = 0;
583                         kbd_led_set();
584
585                         return 0;
586                 }
587         }
588
589         return -1;
590 }
591
592 /*
593  * i8042_tstc - test if keyboard input is available
594  *
595  * option: cursor blinking if called in a loop
596  */
597 int i8042_tstc(struct stdio_dev *dev)
598 {
599         unsigned char scan_code = 0;
600
601 #ifdef CONFIG_CONSOLE_CURSOR
602         if (--blink_count == 0) {
603                 cursor_state ^= 1;
604                 console_cursor(cursor_state);
605                 blink_count = CONFIG_SYS_CONSOLE_BLINK_COUNT;
606                 udelay(10);
607         }
608 #endif
609
610         if ((in8(I8042_STS_REG) & STATUS_OBF) == 0) {
611                 return 0;
612         } else {
613                 scan_code = in8(I8042_DATA_REG);
614                 if (scan_code == 0xfa)
615                         return 0;
616
617                 kbd_conv_char(scan_code);
618
619                 if (kbd_input != -1)
620                         return 1;
621         }
622
623         return 0;
624 }
625
626 /*
627  * i8042_getc - wait till keyboard input is available
628  *
629  * option: turn on/off cursor while waiting
630  */
631 int i8042_getc(struct stdio_dev *dev)
632 {
633         int ret_chr;
634         unsigned char scan_code;
635
636         while (kbd_input == -1) {
637                 while ((in8(I8042_STS_REG) & STATUS_OBF) == 0) {
638 #ifdef CONFIG_CONSOLE_CURSOR
639                         if (--blink_count == 0) {
640                                 cursor_state ^= 1;
641                                 console_cursor(cursor_state);
642                                 blink_count = CONFIG_SYS_CONSOLE_BLINK_COUNT;
643                         }
644                         udelay(10);
645 #endif
646                 }
647                 scan_code = in8(I8042_DATA_REG);
648                 if (scan_code != 0xfa)
649                         kbd_conv_char(scan_code);
650         }
651         ret_chr = kbd_input;
652         kbd_input = -1;
653
654         return ret_chr;
655 }