]> git.kernelconcepts.de Git - karo-tx-uboot.git/commit
Net: macb: reset GBE bit when fallback checking
authorBo Shen <voice.shen@atmel.com>
Wed, 4 Mar 2015 05:35:16 +0000 (13:35 +0800)
committerLothar Waßmann <LW@KARO-electronics.de>
Tue, 1 Sep 2015 12:34:14 +0000 (14:34 +0200)
commitf313ba7fb245eed3d4201b966679a78d97e68a37
tree406a5186be2a0b6a13b34fe3e82ad652b21327d3
parent7367bb9f4174a1c7f1b7c4d5fbd88cd617105236
Net: macb: reset GBE bit when fallback checking

If the GBE bit is set, when do next time autonegotiation,
if the result is not 1000Mbps, it will fallback to 100Mbps
checking. So, we need to clear the GBE bit.

Signed-off-by: Bo Shen <voice.shen@atmel.com>
drivers/net/macb.c