]> git.kernelconcepts.de Git - karo-tx-linux.git/blob - drivers/gpu/drm/bridge/dw_hdmi.c
Merge branch 'drm-dwhdmi-devel' of git://ftp.arm.linux.org.uk/~rmk/linux-arm into...
[karo-tx-linux.git] / drivers / gpu / drm / bridge / dw_hdmi.c
1 /*
2  * Copyright (C) 2011-2013 Freescale Semiconductor, Inc.
3  *
4  * This program is free software; you can redistribute it and/or modify
5  * it under the terms of the GNU General Public License as published by
6  * the Free Software Foundation; either version 2 of the License, or
7  * (at your option) any later version.
8  *
9  * Designware High-Definition Multimedia Interface (HDMI) driver
10  *
11  * Copyright (C) 2010, Guennadi Liakhovetski <g.liakhovetski@gmx.de>
12  */
13 #include <linux/module.h>
14 #include <linux/irq.h>
15 #include <linux/delay.h>
16 #include <linux/err.h>
17 #include <linux/clk.h>
18 #include <linux/hdmi.h>
19 #include <linux/mutex.h>
20 #include <linux/of_device.h>
21 #include <linux/spinlock.h>
22
23 #include <drm/drm_of.h>
24 #include <drm/drmP.h>
25 #include <drm/drm_crtc_helper.h>
26 #include <drm/drm_edid.h>
27 #include <drm/drm_encoder_slave.h>
28 #include <drm/bridge/dw_hdmi.h>
29
30 #include "dw_hdmi.h"
31
32 #define HDMI_EDID_LEN           512
33
34 #define RGB                     0
35 #define YCBCR444                1
36 #define YCBCR422_16BITS         2
37 #define YCBCR422_8BITS          3
38 #define XVYCC444                4
39
40 enum hdmi_datamap {
41         RGB444_8B = 0x01,
42         RGB444_10B = 0x03,
43         RGB444_12B = 0x05,
44         RGB444_16B = 0x07,
45         YCbCr444_8B = 0x09,
46         YCbCr444_10B = 0x0B,
47         YCbCr444_12B = 0x0D,
48         YCbCr444_16B = 0x0F,
49         YCbCr422_8B = 0x16,
50         YCbCr422_10B = 0x14,
51         YCbCr422_12B = 0x12,
52 };
53
54 static const u16 csc_coeff_default[3][4] = {
55         { 0x2000, 0x0000, 0x0000, 0x0000 },
56         { 0x0000, 0x2000, 0x0000, 0x0000 },
57         { 0x0000, 0x0000, 0x2000, 0x0000 }
58 };
59
60 static const u16 csc_coeff_rgb_out_eitu601[3][4] = {
61         { 0x2000, 0x6926, 0x74fd, 0x010e },
62         { 0x2000, 0x2cdd, 0x0000, 0x7e9a },
63         { 0x2000, 0x0000, 0x38b4, 0x7e3b }
64 };
65
66 static const u16 csc_coeff_rgb_out_eitu709[3][4] = {
67         { 0x2000, 0x7106, 0x7a02, 0x00a7 },
68         { 0x2000, 0x3264, 0x0000, 0x7e6d },
69         { 0x2000, 0x0000, 0x3b61, 0x7e25 }
70 };
71
72 static const u16 csc_coeff_rgb_in_eitu601[3][4] = {
73         { 0x2591, 0x1322, 0x074b, 0x0000 },
74         { 0x6535, 0x2000, 0x7acc, 0x0200 },
75         { 0x6acd, 0x7534, 0x2000, 0x0200 }
76 };
77
78 static const u16 csc_coeff_rgb_in_eitu709[3][4] = {
79         { 0x2dc5, 0x0d9b, 0x049e, 0x0000 },
80         { 0x62f0, 0x2000, 0x7d11, 0x0200 },
81         { 0x6756, 0x78ab, 0x2000, 0x0200 }
82 };
83
84 struct hdmi_vmode {
85         bool mdataenablepolarity;
86
87         unsigned int mpixelclock;
88         unsigned int mpixelrepetitioninput;
89         unsigned int mpixelrepetitionoutput;
90 };
91
92 struct hdmi_data_info {
93         unsigned int enc_in_format;
94         unsigned int enc_out_format;
95         unsigned int enc_color_depth;
96         unsigned int colorimetry;
97         unsigned int pix_repet_factor;
98         unsigned int hdcp_enable;
99         struct hdmi_vmode video_mode;
100 };
101
102 struct dw_hdmi {
103         struct drm_connector connector;
104         struct drm_encoder *encoder;
105         struct drm_bridge *bridge;
106
107         enum dw_hdmi_devtype dev_type;
108         struct device *dev;
109         struct clk *isfr_clk;
110         struct clk *iahb_clk;
111
112         struct hdmi_data_info hdmi_data;
113         const struct dw_hdmi_plat_data *plat_data;
114
115         int vic;
116
117         u8 edid[HDMI_EDID_LEN];
118         bool cable_plugin;
119
120         bool phy_enabled;
121         struct drm_display_mode previous_mode;
122
123         struct i2c_adapter *ddc;
124         void __iomem *regs;
125         bool sink_is_hdmi;
126         bool sink_has_audio;
127
128         struct mutex mutex;             /* for state below and previous_mode */
129         bool disabled;                  /* DRM has disabled our bridge */
130
131         spinlock_t audio_lock;
132         struct mutex audio_mutex;
133         unsigned int sample_rate;
134         unsigned int audio_cts;
135         unsigned int audio_n;
136         bool audio_enable;
137         int ratio;
138
139         void (*write)(struct dw_hdmi *hdmi, u8 val, int offset);
140         u8 (*read)(struct dw_hdmi *hdmi, int offset);
141 };
142
143 static void dw_hdmi_writel(struct dw_hdmi *hdmi, u8 val, int offset)
144 {
145         writel(val, hdmi->regs + (offset << 2));
146 }
147
148 static u8 dw_hdmi_readl(struct dw_hdmi *hdmi, int offset)
149 {
150         return readl(hdmi->regs + (offset << 2));
151 }
152
153 static void dw_hdmi_writeb(struct dw_hdmi *hdmi, u8 val, int offset)
154 {
155         writeb(val, hdmi->regs + offset);
156 }
157
158 static u8 dw_hdmi_readb(struct dw_hdmi *hdmi, int offset)
159 {
160         return readb(hdmi->regs + offset);
161 }
162
163 static inline void hdmi_writeb(struct dw_hdmi *hdmi, u8 val, int offset)
164 {
165         hdmi->write(hdmi, val, offset);
166 }
167
168 static inline u8 hdmi_readb(struct dw_hdmi *hdmi, int offset)
169 {
170         return hdmi->read(hdmi, offset);
171 }
172
173 static void hdmi_modb(struct dw_hdmi *hdmi, u8 data, u8 mask, unsigned reg)
174 {
175         u8 val = hdmi_readb(hdmi, reg) & ~mask;
176
177         val |= data & mask;
178         hdmi_writeb(hdmi, val, reg);
179 }
180
181 static void hdmi_mask_writeb(struct dw_hdmi *hdmi, u8 data, unsigned int reg,
182                              u8 shift, u8 mask)
183 {
184         hdmi_modb(hdmi, data << shift, mask, reg);
185 }
186
187 static void hdmi_set_cts_n(struct dw_hdmi *hdmi, unsigned int cts,
188                            unsigned int n)
189 {
190         /* Must be set/cleared first */
191         hdmi_modb(hdmi, 0, HDMI_AUD_CTS3_CTS_MANUAL, HDMI_AUD_CTS3);
192
193         /* nshift factor = 0 */
194         hdmi_modb(hdmi, 0, HDMI_AUD_CTS3_N_SHIFT_MASK, HDMI_AUD_CTS3);
195
196         hdmi_writeb(hdmi, ((cts >> 16) & HDMI_AUD_CTS3_AUDCTS19_16_MASK) |
197                     HDMI_AUD_CTS3_CTS_MANUAL, HDMI_AUD_CTS3);
198         hdmi_writeb(hdmi, (cts >> 8) & 0xff, HDMI_AUD_CTS2);
199         hdmi_writeb(hdmi, cts & 0xff, HDMI_AUD_CTS1);
200
201         hdmi_writeb(hdmi, (n >> 16) & 0x0f, HDMI_AUD_N3);
202         hdmi_writeb(hdmi, (n >> 8) & 0xff, HDMI_AUD_N2);
203         hdmi_writeb(hdmi, n & 0xff, HDMI_AUD_N1);
204 }
205
206 static unsigned int hdmi_compute_n(unsigned int freq, unsigned long pixel_clk,
207                                    unsigned int ratio)
208 {
209         unsigned int n = (128 * freq) / 1000;
210
211         switch (freq) {
212         case 32000:
213                 if (pixel_clk == 25170000)
214                         n = (ratio == 150) ? 9152 : 4576;
215                 else if (pixel_clk == 27020000)
216                         n = (ratio == 150) ? 8192 : 4096;
217                 else if (pixel_clk == 74170000 || pixel_clk == 148350000)
218                         n = 11648;
219                 else
220                         n = 4096;
221                 break;
222
223         case 44100:
224                 if (pixel_clk == 25170000)
225                         n = 7007;
226                 else if (pixel_clk == 74170000)
227                         n = 17836;
228                 else if (pixel_clk == 148350000)
229                         n = (ratio == 150) ? 17836 : 8918;
230                 else
231                         n = 6272;
232                 break;
233
234         case 48000:
235                 if (pixel_clk == 25170000)
236                         n = (ratio == 150) ? 9152 : 6864;
237                 else if (pixel_clk == 27020000)
238                         n = (ratio == 150) ? 8192 : 6144;
239                 else if (pixel_clk == 74170000)
240                         n = 11648;
241                 else if (pixel_clk == 148350000)
242                         n = (ratio == 150) ? 11648 : 5824;
243                 else
244                         n = 6144;
245                 break;
246
247         case 88200:
248                 n = hdmi_compute_n(44100, pixel_clk, ratio) * 2;
249                 break;
250
251         case 96000:
252                 n = hdmi_compute_n(48000, pixel_clk, ratio) * 2;
253                 break;
254
255         case 176400:
256                 n = hdmi_compute_n(44100, pixel_clk, ratio) * 4;
257                 break;
258
259         case 192000:
260                 n = hdmi_compute_n(48000, pixel_clk, ratio) * 4;
261                 break;
262
263         default:
264                 break;
265         }
266
267         return n;
268 }
269
270 static unsigned int hdmi_compute_cts(unsigned int freq, unsigned long pixel_clk,
271                                      unsigned int ratio)
272 {
273         unsigned int cts = 0;
274
275         pr_debug("%s: freq: %d pixel_clk: %ld ratio: %d\n", __func__, freq,
276                  pixel_clk, ratio);
277
278         switch (freq) {
279         case 32000:
280                 if (pixel_clk == 297000000) {
281                         cts = 222750;
282                         break;
283                 }
284         case 48000:
285         case 96000:
286         case 192000:
287                 switch (pixel_clk) {
288                 case 25200000:
289                 case 27000000:
290                 case 54000000:
291                 case 74250000:
292                 case 148500000:
293                         cts = pixel_clk / 1000;
294                         break;
295                 case 297000000:
296                         cts = 247500;
297                         break;
298                 /*
299                  * All other TMDS clocks are not supported by
300                  * DWC_hdmi_tx. The TMDS clocks divided or
301                  * multiplied by 1,001 coefficients are not
302                  * supported.
303                  */
304                 default:
305                         break;
306                 }
307                 break;
308         case 44100:
309         case 88200:
310         case 176400:
311                 switch (pixel_clk) {
312                 case 25200000:
313                         cts = 28000;
314                         break;
315                 case 27000000:
316                         cts = 30000;
317                         break;
318                 case 54000000:
319                         cts = 60000;
320                         break;
321                 case 74250000:
322                         cts = 82500;
323                         break;
324                 case 148500000:
325                         cts = 165000;
326                         break;
327                 case 297000000:
328                         cts = 247500;
329                         break;
330                 default:
331                         break;
332                 }
333                 break;
334         default:
335                 break;
336         }
337         if (ratio == 100)
338                 return cts;
339         return (cts * ratio) / 100;
340 }
341
342 static void hdmi_set_clk_regenerator(struct dw_hdmi *hdmi,
343         unsigned long pixel_clk, unsigned int sample_rate, unsigned int ratio)
344 {
345         unsigned int n, cts;
346
347         n = hdmi_compute_n(sample_rate, pixel_clk, ratio);
348         cts = hdmi_compute_cts(sample_rate, pixel_clk, ratio);
349         if (!cts) {
350                 dev_err(hdmi->dev,
351                         "%s: pixel clock/sample rate not supported: %luMHz / %ukHz\n",
352                         __func__, pixel_clk, sample_rate);
353         }
354
355         dev_dbg(hdmi->dev, "%s: samplerate=%ukHz ratio=%d pixelclk=%luMHz N=%d cts=%d\n",
356                 __func__, sample_rate, ratio, pixel_clk, n, cts);
357
358         spin_lock_irq(&hdmi->audio_lock);
359         hdmi->audio_n = n;
360         hdmi->audio_cts = cts;
361         hdmi_set_cts_n(hdmi, cts, hdmi->audio_enable ? n : 0);
362         spin_unlock_irq(&hdmi->audio_lock);
363 }
364
365 static void hdmi_init_clk_regenerator(struct dw_hdmi *hdmi)
366 {
367         mutex_lock(&hdmi->audio_mutex);
368         hdmi_set_clk_regenerator(hdmi, 74250000, hdmi->sample_rate,
369                                  hdmi->ratio);
370         mutex_unlock(&hdmi->audio_mutex);
371 }
372
373 static void hdmi_clk_regenerator_update_pixel_clock(struct dw_hdmi *hdmi)
374 {
375         mutex_lock(&hdmi->audio_mutex);
376         hdmi_set_clk_regenerator(hdmi, hdmi->hdmi_data.video_mode.mpixelclock,
377                                  hdmi->sample_rate, hdmi->ratio);
378         mutex_unlock(&hdmi->audio_mutex);
379 }
380
381 void dw_hdmi_set_sample_rate(struct dw_hdmi *hdmi, unsigned int rate)
382 {
383         mutex_lock(&hdmi->audio_mutex);
384         hdmi->sample_rate = rate;
385         hdmi_set_clk_regenerator(hdmi, hdmi->hdmi_data.video_mode.mpixelclock,
386                                  hdmi->sample_rate, hdmi->ratio);
387         mutex_unlock(&hdmi->audio_mutex);
388 }
389 EXPORT_SYMBOL_GPL(dw_hdmi_set_sample_rate);
390
391 void dw_hdmi_audio_enable(struct dw_hdmi *hdmi)
392 {
393         unsigned long flags;
394
395         spin_lock_irqsave(&hdmi->audio_lock, flags);
396         hdmi->audio_enable = true;
397         hdmi_set_cts_n(hdmi, hdmi->audio_cts, hdmi->audio_n);
398         spin_unlock_irqrestore(&hdmi->audio_lock, flags);
399 }
400 EXPORT_SYMBOL_GPL(dw_hdmi_audio_enable);
401
402 void dw_hdmi_audio_disable(struct dw_hdmi *hdmi)
403 {
404         unsigned long flags;
405
406         spin_lock_irqsave(&hdmi->audio_lock, flags);
407         hdmi->audio_enable = false;
408         hdmi_set_cts_n(hdmi, hdmi->audio_cts, 0);
409         spin_unlock_irqrestore(&hdmi->audio_lock, flags);
410 }
411 EXPORT_SYMBOL_GPL(dw_hdmi_audio_disable);
412
413 /*
414  * this submodule is responsible for the video data synchronization.
415  * for example, for RGB 4:4:4 input, the data map is defined as
416  *                      pin{47~40} <==> R[7:0]
417  *                      pin{31~24} <==> G[7:0]
418  *                      pin{15~8}  <==> B[7:0]
419  */
420 static void hdmi_video_sample(struct dw_hdmi *hdmi)
421 {
422         int color_format = 0;
423         u8 val;
424
425         if (hdmi->hdmi_data.enc_in_format == RGB) {
426                 if (hdmi->hdmi_data.enc_color_depth == 8)
427                         color_format = 0x01;
428                 else if (hdmi->hdmi_data.enc_color_depth == 10)
429                         color_format = 0x03;
430                 else if (hdmi->hdmi_data.enc_color_depth == 12)
431                         color_format = 0x05;
432                 else if (hdmi->hdmi_data.enc_color_depth == 16)
433                         color_format = 0x07;
434                 else
435                         return;
436         } else if (hdmi->hdmi_data.enc_in_format == YCBCR444) {
437                 if (hdmi->hdmi_data.enc_color_depth == 8)
438                         color_format = 0x09;
439                 else if (hdmi->hdmi_data.enc_color_depth == 10)
440                         color_format = 0x0B;
441                 else if (hdmi->hdmi_data.enc_color_depth == 12)
442                         color_format = 0x0D;
443                 else if (hdmi->hdmi_data.enc_color_depth == 16)
444                         color_format = 0x0F;
445                 else
446                         return;
447         } else if (hdmi->hdmi_data.enc_in_format == YCBCR422_8BITS) {
448                 if (hdmi->hdmi_data.enc_color_depth == 8)
449                         color_format = 0x16;
450                 else if (hdmi->hdmi_data.enc_color_depth == 10)
451                         color_format = 0x14;
452                 else if (hdmi->hdmi_data.enc_color_depth == 12)
453                         color_format = 0x12;
454                 else
455                         return;
456         }
457
458         val = HDMI_TX_INVID0_INTERNAL_DE_GENERATOR_DISABLE |
459                 ((color_format << HDMI_TX_INVID0_VIDEO_MAPPING_OFFSET) &
460                 HDMI_TX_INVID0_VIDEO_MAPPING_MASK);
461         hdmi_writeb(hdmi, val, HDMI_TX_INVID0);
462
463         /* Enable TX stuffing: When DE is inactive, fix the output data to 0 */
464         val = HDMI_TX_INSTUFFING_BDBDATA_STUFFING_ENABLE |
465                 HDMI_TX_INSTUFFING_RCRDATA_STUFFING_ENABLE |
466                 HDMI_TX_INSTUFFING_GYDATA_STUFFING_ENABLE;
467         hdmi_writeb(hdmi, val, HDMI_TX_INSTUFFING);
468         hdmi_writeb(hdmi, 0x0, HDMI_TX_GYDATA0);
469         hdmi_writeb(hdmi, 0x0, HDMI_TX_GYDATA1);
470         hdmi_writeb(hdmi, 0x0, HDMI_TX_RCRDATA0);
471         hdmi_writeb(hdmi, 0x0, HDMI_TX_RCRDATA1);
472         hdmi_writeb(hdmi, 0x0, HDMI_TX_BCBDATA0);
473         hdmi_writeb(hdmi, 0x0, HDMI_TX_BCBDATA1);
474 }
475
476 static int is_color_space_conversion(struct dw_hdmi *hdmi)
477 {
478         return hdmi->hdmi_data.enc_in_format != hdmi->hdmi_data.enc_out_format;
479 }
480
481 static int is_color_space_decimation(struct dw_hdmi *hdmi)
482 {
483         if (hdmi->hdmi_data.enc_out_format != YCBCR422_8BITS)
484                 return 0;
485         if (hdmi->hdmi_data.enc_in_format == RGB ||
486             hdmi->hdmi_data.enc_in_format == YCBCR444)
487                 return 1;
488         return 0;
489 }
490
491 static int is_color_space_interpolation(struct dw_hdmi *hdmi)
492 {
493         if (hdmi->hdmi_data.enc_in_format != YCBCR422_8BITS)
494                 return 0;
495         if (hdmi->hdmi_data.enc_out_format == RGB ||
496             hdmi->hdmi_data.enc_out_format == YCBCR444)
497                 return 1;
498         return 0;
499 }
500
501 static void dw_hdmi_update_csc_coeffs(struct dw_hdmi *hdmi)
502 {
503         const u16 (*csc_coeff)[3][4] = &csc_coeff_default;
504         unsigned i;
505         u32 csc_scale = 1;
506
507         if (is_color_space_conversion(hdmi)) {
508                 if (hdmi->hdmi_data.enc_out_format == RGB) {
509                         if (hdmi->hdmi_data.colorimetry ==
510                                         HDMI_COLORIMETRY_ITU_601)
511                                 csc_coeff = &csc_coeff_rgb_out_eitu601;
512                         else
513                                 csc_coeff = &csc_coeff_rgb_out_eitu709;
514                 } else if (hdmi->hdmi_data.enc_in_format == RGB) {
515                         if (hdmi->hdmi_data.colorimetry ==
516                                         HDMI_COLORIMETRY_ITU_601)
517                                 csc_coeff = &csc_coeff_rgb_in_eitu601;
518                         else
519                                 csc_coeff = &csc_coeff_rgb_in_eitu709;
520                         csc_scale = 0;
521                 }
522         }
523
524         /* The CSC registers are sequential, alternating MSB then LSB */
525         for (i = 0; i < ARRAY_SIZE(csc_coeff_default[0]); i++) {
526                 u16 coeff_a = (*csc_coeff)[0][i];
527                 u16 coeff_b = (*csc_coeff)[1][i];
528                 u16 coeff_c = (*csc_coeff)[2][i];
529
530                 hdmi_writeb(hdmi, coeff_a & 0xff, HDMI_CSC_COEF_A1_LSB + i * 2);
531                 hdmi_writeb(hdmi, coeff_a >> 8, HDMI_CSC_COEF_A1_MSB + i * 2);
532                 hdmi_writeb(hdmi, coeff_b & 0xff, HDMI_CSC_COEF_B1_LSB + i * 2);
533                 hdmi_writeb(hdmi, coeff_b >> 8, HDMI_CSC_COEF_B1_MSB + i * 2);
534                 hdmi_writeb(hdmi, coeff_c & 0xff, HDMI_CSC_COEF_C1_LSB + i * 2);
535                 hdmi_writeb(hdmi, coeff_c >> 8, HDMI_CSC_COEF_C1_MSB + i * 2);
536         }
537
538         hdmi_modb(hdmi, csc_scale, HDMI_CSC_SCALE_CSCSCALE_MASK,
539                   HDMI_CSC_SCALE);
540 }
541
542 static void hdmi_video_csc(struct dw_hdmi *hdmi)
543 {
544         int color_depth = 0;
545         int interpolation = HDMI_CSC_CFG_INTMODE_DISABLE;
546         int decimation = 0;
547
548         /* YCC422 interpolation to 444 mode */
549         if (is_color_space_interpolation(hdmi))
550                 interpolation = HDMI_CSC_CFG_INTMODE_CHROMA_INT_FORMULA1;
551         else if (is_color_space_decimation(hdmi))
552                 decimation = HDMI_CSC_CFG_DECMODE_CHROMA_INT_FORMULA3;
553
554         if (hdmi->hdmi_data.enc_color_depth == 8)
555                 color_depth = HDMI_CSC_SCALE_CSC_COLORDE_PTH_24BPP;
556         else if (hdmi->hdmi_data.enc_color_depth == 10)
557                 color_depth = HDMI_CSC_SCALE_CSC_COLORDE_PTH_30BPP;
558         else if (hdmi->hdmi_data.enc_color_depth == 12)
559                 color_depth = HDMI_CSC_SCALE_CSC_COLORDE_PTH_36BPP;
560         else if (hdmi->hdmi_data.enc_color_depth == 16)
561                 color_depth = HDMI_CSC_SCALE_CSC_COLORDE_PTH_48BPP;
562         else
563                 return;
564
565         /* Configure the CSC registers */
566         hdmi_writeb(hdmi, interpolation | decimation, HDMI_CSC_CFG);
567         hdmi_modb(hdmi, color_depth, HDMI_CSC_SCALE_CSC_COLORDE_PTH_MASK,
568                   HDMI_CSC_SCALE);
569
570         dw_hdmi_update_csc_coeffs(hdmi);
571 }
572
573 /*
574  * HDMI video packetizer is used to packetize the data.
575  * for example, if input is YCC422 mode or repeater is used,
576  * data should be repacked this module can be bypassed.
577  */
578 static void hdmi_video_packetize(struct dw_hdmi *hdmi)
579 {
580         unsigned int color_depth = 0;
581         unsigned int remap_size = HDMI_VP_REMAP_YCC422_16bit;
582         unsigned int output_select = HDMI_VP_CONF_OUTPUT_SELECTOR_PP;
583         struct hdmi_data_info *hdmi_data = &hdmi->hdmi_data;
584         u8 val, vp_conf;
585
586         if (hdmi_data->enc_out_format == RGB ||
587             hdmi_data->enc_out_format == YCBCR444) {
588                 if (!hdmi_data->enc_color_depth) {
589                         output_select = HDMI_VP_CONF_OUTPUT_SELECTOR_BYPASS;
590                 } else if (hdmi_data->enc_color_depth == 8) {
591                         color_depth = 4;
592                         output_select = HDMI_VP_CONF_OUTPUT_SELECTOR_BYPASS;
593                 } else if (hdmi_data->enc_color_depth == 10) {
594                         color_depth = 5;
595                 } else if (hdmi_data->enc_color_depth == 12) {
596                         color_depth = 6;
597                 } else if (hdmi_data->enc_color_depth == 16) {
598                         color_depth = 7;
599                 } else {
600                         return;
601                 }
602         } else if (hdmi_data->enc_out_format == YCBCR422_8BITS) {
603                 if (!hdmi_data->enc_color_depth ||
604                     hdmi_data->enc_color_depth == 8)
605                         remap_size = HDMI_VP_REMAP_YCC422_16bit;
606                 else if (hdmi_data->enc_color_depth == 10)
607                         remap_size = HDMI_VP_REMAP_YCC422_20bit;
608                 else if (hdmi_data->enc_color_depth == 12)
609                         remap_size = HDMI_VP_REMAP_YCC422_24bit;
610                 else
611                         return;
612                 output_select = HDMI_VP_CONF_OUTPUT_SELECTOR_YCC422;
613         } else {
614                 return;
615         }
616
617         /* set the packetizer registers */
618         val = ((color_depth << HDMI_VP_PR_CD_COLOR_DEPTH_OFFSET) &
619                 HDMI_VP_PR_CD_COLOR_DEPTH_MASK) |
620                 ((hdmi_data->pix_repet_factor <<
621                 HDMI_VP_PR_CD_DESIRED_PR_FACTOR_OFFSET) &
622                 HDMI_VP_PR_CD_DESIRED_PR_FACTOR_MASK);
623         hdmi_writeb(hdmi, val, HDMI_VP_PR_CD);
624
625         hdmi_modb(hdmi, HDMI_VP_STUFF_PR_STUFFING_STUFFING_MODE,
626                   HDMI_VP_STUFF_PR_STUFFING_MASK, HDMI_VP_STUFF);
627
628         /* Data from pixel repeater block */
629         if (hdmi_data->pix_repet_factor > 1) {
630                 vp_conf = HDMI_VP_CONF_PR_EN_ENABLE |
631                           HDMI_VP_CONF_BYPASS_SELECT_PIX_REPEATER;
632         } else { /* data from packetizer block */
633                 vp_conf = HDMI_VP_CONF_PR_EN_DISABLE |
634                           HDMI_VP_CONF_BYPASS_SELECT_VID_PACKETIZER;
635         }
636
637         hdmi_modb(hdmi, vp_conf,
638                   HDMI_VP_CONF_PR_EN_MASK |
639                   HDMI_VP_CONF_BYPASS_SELECT_MASK, HDMI_VP_CONF);
640
641         hdmi_modb(hdmi, 1 << HDMI_VP_STUFF_IDEFAULT_PHASE_OFFSET,
642                   HDMI_VP_STUFF_IDEFAULT_PHASE_MASK, HDMI_VP_STUFF);
643
644         hdmi_writeb(hdmi, remap_size, HDMI_VP_REMAP);
645
646         if (output_select == HDMI_VP_CONF_OUTPUT_SELECTOR_PP) {
647                 vp_conf = HDMI_VP_CONF_BYPASS_EN_DISABLE |
648                           HDMI_VP_CONF_PP_EN_ENABLE |
649                           HDMI_VP_CONF_YCC422_EN_DISABLE;
650         } else if (output_select == HDMI_VP_CONF_OUTPUT_SELECTOR_YCC422) {
651                 vp_conf = HDMI_VP_CONF_BYPASS_EN_DISABLE |
652                           HDMI_VP_CONF_PP_EN_DISABLE |
653                           HDMI_VP_CONF_YCC422_EN_ENABLE;
654         } else if (output_select == HDMI_VP_CONF_OUTPUT_SELECTOR_BYPASS) {
655                 vp_conf = HDMI_VP_CONF_BYPASS_EN_ENABLE |
656                           HDMI_VP_CONF_PP_EN_DISABLE |
657                           HDMI_VP_CONF_YCC422_EN_DISABLE;
658         } else {
659                 return;
660         }
661
662         hdmi_modb(hdmi, vp_conf,
663                   HDMI_VP_CONF_BYPASS_EN_MASK | HDMI_VP_CONF_PP_EN_ENMASK |
664                   HDMI_VP_CONF_YCC422_EN_MASK, HDMI_VP_CONF);
665
666         hdmi_modb(hdmi, HDMI_VP_STUFF_PP_STUFFING_STUFFING_MODE |
667                         HDMI_VP_STUFF_YCC422_STUFFING_STUFFING_MODE,
668                   HDMI_VP_STUFF_PP_STUFFING_MASK |
669                   HDMI_VP_STUFF_YCC422_STUFFING_MASK, HDMI_VP_STUFF);
670
671         hdmi_modb(hdmi, output_select, HDMI_VP_CONF_OUTPUT_SELECTOR_MASK,
672                   HDMI_VP_CONF);
673 }
674
675 static inline void hdmi_phy_test_clear(struct dw_hdmi *hdmi,
676                                        unsigned char bit)
677 {
678         hdmi_modb(hdmi, bit << HDMI_PHY_TST0_TSTCLR_OFFSET,
679                   HDMI_PHY_TST0_TSTCLR_MASK, HDMI_PHY_TST0);
680 }
681
682 static inline void hdmi_phy_test_enable(struct dw_hdmi *hdmi,
683                                         unsigned char bit)
684 {
685         hdmi_modb(hdmi, bit << HDMI_PHY_TST0_TSTEN_OFFSET,
686                   HDMI_PHY_TST0_TSTEN_MASK, HDMI_PHY_TST0);
687 }
688
689 static inline void hdmi_phy_test_clock(struct dw_hdmi *hdmi,
690                                        unsigned char bit)
691 {
692         hdmi_modb(hdmi, bit << HDMI_PHY_TST0_TSTCLK_OFFSET,
693                   HDMI_PHY_TST0_TSTCLK_MASK, HDMI_PHY_TST0);
694 }
695
696 static inline void hdmi_phy_test_din(struct dw_hdmi *hdmi,
697                                      unsigned char bit)
698 {
699         hdmi_writeb(hdmi, bit, HDMI_PHY_TST1);
700 }
701
702 static inline void hdmi_phy_test_dout(struct dw_hdmi *hdmi,
703                                       unsigned char bit)
704 {
705         hdmi_writeb(hdmi, bit, HDMI_PHY_TST2);
706 }
707
708 static bool hdmi_phy_wait_i2c_done(struct dw_hdmi *hdmi, int msec)
709 {
710         u32 val;
711
712         while ((val = hdmi_readb(hdmi, HDMI_IH_I2CMPHY_STAT0) & 0x3) == 0) {
713                 if (msec-- == 0)
714                         return false;
715                 udelay(1000);
716         }
717         hdmi_writeb(hdmi, val, HDMI_IH_I2CMPHY_STAT0);
718
719         return true;
720 }
721
722 static void __hdmi_phy_i2c_write(struct dw_hdmi *hdmi, unsigned short data,
723                                  unsigned char addr)
724 {
725         hdmi_writeb(hdmi, 0xFF, HDMI_IH_I2CMPHY_STAT0);
726         hdmi_writeb(hdmi, addr, HDMI_PHY_I2CM_ADDRESS_ADDR);
727         hdmi_writeb(hdmi, (unsigned char)(data >> 8),
728                     HDMI_PHY_I2CM_DATAO_1_ADDR);
729         hdmi_writeb(hdmi, (unsigned char)(data >> 0),
730                     HDMI_PHY_I2CM_DATAO_0_ADDR);
731         hdmi_writeb(hdmi, HDMI_PHY_I2CM_OPERATION_ADDR_WRITE,
732                     HDMI_PHY_I2CM_OPERATION_ADDR);
733         hdmi_phy_wait_i2c_done(hdmi, 1000);
734 }
735
736 static int hdmi_phy_i2c_write(struct dw_hdmi *hdmi, unsigned short data,
737                               unsigned char addr)
738 {
739         __hdmi_phy_i2c_write(hdmi, data, addr);
740         return 0;
741 }
742
743 static void dw_hdmi_phy_enable_powerdown(struct dw_hdmi *hdmi, bool enable)
744 {
745         hdmi_mask_writeb(hdmi, !enable, HDMI_PHY_CONF0,
746                          HDMI_PHY_CONF0_PDZ_OFFSET,
747                          HDMI_PHY_CONF0_PDZ_MASK);
748 }
749
750 static void dw_hdmi_phy_enable_tmds(struct dw_hdmi *hdmi, u8 enable)
751 {
752         hdmi_mask_writeb(hdmi, enable, HDMI_PHY_CONF0,
753                          HDMI_PHY_CONF0_ENTMDS_OFFSET,
754                          HDMI_PHY_CONF0_ENTMDS_MASK);
755 }
756
757 static void dw_hdmi_phy_enable_spare(struct dw_hdmi *hdmi, u8 enable)
758 {
759         hdmi_mask_writeb(hdmi, enable, HDMI_PHY_CONF0,
760                          HDMI_PHY_CONF0_SPARECTRL_OFFSET,
761                          HDMI_PHY_CONF0_SPARECTRL_MASK);
762 }
763
764 static void dw_hdmi_phy_gen2_pddq(struct dw_hdmi *hdmi, u8 enable)
765 {
766         hdmi_mask_writeb(hdmi, enable, HDMI_PHY_CONF0,
767                          HDMI_PHY_CONF0_GEN2_PDDQ_OFFSET,
768                          HDMI_PHY_CONF0_GEN2_PDDQ_MASK);
769 }
770
771 static void dw_hdmi_phy_gen2_txpwron(struct dw_hdmi *hdmi, u8 enable)
772 {
773         hdmi_mask_writeb(hdmi, enable, HDMI_PHY_CONF0,
774                          HDMI_PHY_CONF0_GEN2_TXPWRON_OFFSET,
775                          HDMI_PHY_CONF0_GEN2_TXPWRON_MASK);
776 }
777
778 static void dw_hdmi_phy_sel_data_en_pol(struct dw_hdmi *hdmi, u8 enable)
779 {
780         hdmi_mask_writeb(hdmi, enable, HDMI_PHY_CONF0,
781                          HDMI_PHY_CONF0_SELDATAENPOL_OFFSET,
782                          HDMI_PHY_CONF0_SELDATAENPOL_MASK);
783 }
784
785 static void dw_hdmi_phy_sel_interface_control(struct dw_hdmi *hdmi, u8 enable)
786 {
787         hdmi_mask_writeb(hdmi, enable, HDMI_PHY_CONF0,
788                          HDMI_PHY_CONF0_SELDIPIF_OFFSET,
789                          HDMI_PHY_CONF0_SELDIPIF_MASK);
790 }
791
792 static int hdmi_phy_configure(struct dw_hdmi *hdmi, unsigned char prep,
793                               unsigned char res, int cscon)
794 {
795         unsigned res_idx;
796         u8 val, msec;
797         const struct dw_hdmi_plat_data *pdata = hdmi->plat_data;
798         const struct dw_hdmi_mpll_config *mpll_config = pdata->mpll_cfg;
799         const struct dw_hdmi_curr_ctrl *curr_ctrl = pdata->cur_ctr;
800         const struct dw_hdmi_phy_config *phy_config = pdata->phy_config;
801
802         if (prep)
803                 return -EINVAL;
804
805         switch (res) {
806         case 0: /* color resolution 0 is 8 bit colour depth */
807         case 8:
808                 res_idx = DW_HDMI_RES_8;
809                 break;
810         case 10:
811                 res_idx = DW_HDMI_RES_10;
812                 break;
813         case 12:
814                 res_idx = DW_HDMI_RES_12;
815                 break;
816         default:
817                 return -EINVAL;
818         }
819
820         /* PLL/MPLL Cfg - always match on final entry */
821         for (; mpll_config->mpixelclock != ~0UL; mpll_config++)
822                 if (hdmi->hdmi_data.video_mode.mpixelclock <=
823                     mpll_config->mpixelclock)
824                         break;
825
826         for (; curr_ctrl->mpixelclock != ~0UL; curr_ctrl++)
827                 if (hdmi->hdmi_data.video_mode.mpixelclock <=
828                     curr_ctrl->mpixelclock)
829                         break;
830
831         for (; phy_config->mpixelclock != ~0UL; phy_config++)
832                 if (hdmi->hdmi_data.video_mode.mpixelclock <=
833                     phy_config->mpixelclock)
834                         break;
835
836         if (mpll_config->mpixelclock == ~0UL ||
837             curr_ctrl->mpixelclock == ~0UL ||
838             phy_config->mpixelclock == ~0UL) {
839                 dev_err(hdmi->dev, "Pixel clock %d - unsupported by HDMI\n",
840                         hdmi->hdmi_data.video_mode.mpixelclock);
841                 return -EINVAL;
842         }
843
844         /* Enable csc path */
845         if (cscon)
846                 val = HDMI_MC_FLOWCTRL_FEED_THROUGH_OFF_CSC_IN_PATH;
847         else
848                 val = HDMI_MC_FLOWCTRL_FEED_THROUGH_OFF_CSC_BYPASS;
849
850         hdmi_writeb(hdmi, val, HDMI_MC_FLOWCTRL);
851
852         /* gen2 tx power off */
853         dw_hdmi_phy_gen2_txpwron(hdmi, 0);
854
855         /* gen2 pddq */
856         dw_hdmi_phy_gen2_pddq(hdmi, 1);
857
858         /* PHY reset */
859         hdmi_writeb(hdmi, HDMI_MC_PHYRSTZ_DEASSERT, HDMI_MC_PHYRSTZ);
860         hdmi_writeb(hdmi, HDMI_MC_PHYRSTZ_ASSERT, HDMI_MC_PHYRSTZ);
861
862         hdmi_writeb(hdmi, HDMI_MC_HEACPHY_RST_ASSERT, HDMI_MC_HEACPHY_RST);
863
864         hdmi_phy_test_clear(hdmi, 1);
865         hdmi_writeb(hdmi, HDMI_PHY_I2CM_SLAVE_ADDR_PHY_GEN2,
866                     HDMI_PHY_I2CM_SLAVE_ADDR);
867         hdmi_phy_test_clear(hdmi, 0);
868
869         hdmi_phy_i2c_write(hdmi, mpll_config->res[res_idx].cpce, 0x06);
870         hdmi_phy_i2c_write(hdmi, mpll_config->res[res_idx].gmp, 0x15);
871
872         /* CURRCTRL */
873         hdmi_phy_i2c_write(hdmi, curr_ctrl->curr[res_idx], 0x10);
874
875         hdmi_phy_i2c_write(hdmi, 0x0000, 0x13);  /* PLLPHBYCTRL */
876         hdmi_phy_i2c_write(hdmi, 0x0006, 0x17);
877
878         hdmi_phy_i2c_write(hdmi, phy_config->term, 0x19);  /* TXTERM */
879         hdmi_phy_i2c_write(hdmi, phy_config->sym_ctr, 0x09); /* CKSYMTXCTRL */
880         hdmi_phy_i2c_write(hdmi, phy_config->vlev_ctr, 0x0E); /* VLEVCTRL */
881
882         /* REMOVE CLK TERM */
883         hdmi_phy_i2c_write(hdmi, 0x8000, 0x05);  /* CKCALCTRL */
884
885         dw_hdmi_phy_enable_powerdown(hdmi, false);
886
887         /* toggle TMDS enable */
888         dw_hdmi_phy_enable_tmds(hdmi, 0);
889         dw_hdmi_phy_enable_tmds(hdmi, 1);
890
891         /* gen2 tx power on */
892         dw_hdmi_phy_gen2_txpwron(hdmi, 1);
893         dw_hdmi_phy_gen2_pddq(hdmi, 0);
894
895         if (hdmi->dev_type == RK3288_HDMI)
896                 dw_hdmi_phy_enable_spare(hdmi, 1);
897
898         /*Wait for PHY PLL lock */
899         msec = 5;
900         do {
901                 val = hdmi_readb(hdmi, HDMI_PHY_STAT0) & HDMI_PHY_TX_PHY_LOCK;
902                 if (!val)
903                         break;
904
905                 if (msec == 0) {
906                         dev_err(hdmi->dev, "PHY PLL not locked\n");
907                         return -ETIMEDOUT;
908                 }
909
910                 udelay(1000);
911                 msec--;
912         } while (1);
913
914         return 0;
915 }
916
917 static int dw_hdmi_phy_init(struct dw_hdmi *hdmi)
918 {
919         int i, ret;
920         bool cscon;
921
922         /*check csc whether needed activated in HDMI mode */
923         cscon = hdmi->sink_is_hdmi && is_color_space_conversion(hdmi);
924
925         /* HDMI Phy spec says to do the phy initialization sequence twice */
926         for (i = 0; i < 2; i++) {
927                 dw_hdmi_phy_sel_data_en_pol(hdmi, 1);
928                 dw_hdmi_phy_sel_interface_control(hdmi, 0);
929                 dw_hdmi_phy_enable_tmds(hdmi, 0);
930                 dw_hdmi_phy_enable_powerdown(hdmi, true);
931
932                 /* Enable CSC */
933                 ret = hdmi_phy_configure(hdmi, 0, 8, cscon);
934                 if (ret)
935                         return ret;
936         }
937
938         hdmi->phy_enabled = true;
939         return 0;
940 }
941
942 static void hdmi_tx_hdcp_config(struct dw_hdmi *hdmi)
943 {
944         u8 de;
945
946         if (hdmi->hdmi_data.video_mode.mdataenablepolarity)
947                 de = HDMI_A_VIDPOLCFG_DATAENPOL_ACTIVE_HIGH;
948         else
949                 de = HDMI_A_VIDPOLCFG_DATAENPOL_ACTIVE_LOW;
950
951         /* disable rx detect */
952         hdmi_modb(hdmi, HDMI_A_HDCPCFG0_RXDETECT_DISABLE,
953                   HDMI_A_HDCPCFG0_RXDETECT_MASK, HDMI_A_HDCPCFG0);
954
955         hdmi_modb(hdmi, de, HDMI_A_VIDPOLCFG_DATAENPOL_MASK, HDMI_A_VIDPOLCFG);
956
957         hdmi_modb(hdmi, HDMI_A_HDCPCFG1_ENCRYPTIONDISABLE_DISABLE,
958                   HDMI_A_HDCPCFG1_ENCRYPTIONDISABLE_MASK, HDMI_A_HDCPCFG1);
959 }
960
961 static void hdmi_config_AVI(struct dw_hdmi *hdmi, struct drm_display_mode *mode)
962 {
963         struct hdmi_avi_infoframe frame;
964         u8 val;
965
966         /* Initialise info frame from DRM mode */
967         drm_hdmi_avi_infoframe_from_display_mode(&frame, mode);
968
969         if (hdmi->hdmi_data.enc_out_format == YCBCR444)
970                 frame.colorspace = HDMI_COLORSPACE_YUV444;
971         else if (hdmi->hdmi_data.enc_out_format == YCBCR422_8BITS)
972                 frame.colorspace = HDMI_COLORSPACE_YUV422;
973         else
974                 frame.colorspace = HDMI_COLORSPACE_RGB;
975
976         /* Set up colorimetry */
977         if (hdmi->hdmi_data.enc_out_format == XVYCC444) {
978                 frame.colorimetry = HDMI_COLORIMETRY_EXTENDED;
979                 if (hdmi->hdmi_data.colorimetry == HDMI_COLORIMETRY_ITU_601)
980                         frame.extended_colorimetry =
981                                 HDMI_EXTENDED_COLORIMETRY_XV_YCC_601;
982                 else /*hdmi->hdmi_data.colorimetry == HDMI_COLORIMETRY_ITU_709*/
983                         frame.extended_colorimetry =
984                                 HDMI_EXTENDED_COLORIMETRY_XV_YCC_709;
985         } else if (hdmi->hdmi_data.enc_out_format != RGB) {
986                 frame.colorimetry = hdmi->hdmi_data.colorimetry;
987                 frame.extended_colorimetry = HDMI_EXTENDED_COLORIMETRY_XV_YCC_601;
988         } else { /* Carries no data */
989                 frame.colorimetry = HDMI_COLORIMETRY_NONE;
990                 frame.extended_colorimetry = HDMI_EXTENDED_COLORIMETRY_XV_YCC_601;
991         }
992
993         frame.scan_mode = HDMI_SCAN_MODE_NONE;
994
995         /*
996          * The Designware IP uses a different byte format from standard
997          * AVI info frames, though generally the bits are in the correct
998          * bytes.
999          */
1000
1001         /*
1002          * AVI data byte 1 differences: Colorspace in bits 4,5 rather than 5,6,
1003          * active aspect present in bit 6 rather than 4.
1004          */
1005         val = (frame.colorspace & 3) << 4 | (frame.scan_mode & 0x3);
1006         if (frame.active_aspect & 15)
1007                 val |= HDMI_FC_AVICONF0_ACTIVE_FMT_INFO_PRESENT;
1008         if (frame.top_bar || frame.bottom_bar)
1009                 val |= HDMI_FC_AVICONF0_BAR_DATA_HORIZ_BAR;
1010         if (frame.left_bar || frame.right_bar)
1011                 val |= HDMI_FC_AVICONF0_BAR_DATA_VERT_BAR;
1012         hdmi_writeb(hdmi, val, HDMI_FC_AVICONF0);
1013
1014         /* AVI data byte 2 differences: none */
1015         val = ((frame.colorimetry & 0x3) << 6) |
1016               ((frame.picture_aspect & 0x3) << 4) |
1017               (frame.active_aspect & 0xf);
1018         hdmi_writeb(hdmi, val, HDMI_FC_AVICONF1);
1019
1020         /* AVI data byte 3 differences: none */
1021         val = ((frame.extended_colorimetry & 0x7) << 4) |
1022               ((frame.quantization_range & 0x3) << 2) |
1023               (frame.nups & 0x3);
1024         if (frame.itc)
1025                 val |= HDMI_FC_AVICONF2_IT_CONTENT_VALID;
1026         hdmi_writeb(hdmi, val, HDMI_FC_AVICONF2);
1027
1028         /* AVI data byte 4 differences: none */
1029         val = frame.video_code & 0x7f;
1030         hdmi_writeb(hdmi, val, HDMI_FC_AVIVID);
1031
1032         /* AVI Data Byte 5- set up input and output pixel repetition */
1033         val = (((hdmi->hdmi_data.video_mode.mpixelrepetitioninput + 1) <<
1034                 HDMI_FC_PRCONF_INCOMING_PR_FACTOR_OFFSET) &
1035                 HDMI_FC_PRCONF_INCOMING_PR_FACTOR_MASK) |
1036                 ((hdmi->hdmi_data.video_mode.mpixelrepetitionoutput <<
1037                 HDMI_FC_PRCONF_OUTPUT_PR_FACTOR_OFFSET) &
1038                 HDMI_FC_PRCONF_OUTPUT_PR_FACTOR_MASK);
1039         hdmi_writeb(hdmi, val, HDMI_FC_PRCONF);
1040
1041         /*
1042          * AVI data byte 5 differences: content type in 0,1 rather than 4,5,
1043          * ycc range in bits 2,3 rather than 6,7
1044          */
1045         val = ((frame.ycc_quantization_range & 0x3) << 2) |
1046               (frame.content_type & 0x3);
1047         hdmi_writeb(hdmi, val, HDMI_FC_AVICONF3);
1048
1049         /* AVI Data Bytes 6-13 */
1050         hdmi_writeb(hdmi, frame.top_bar & 0xff, HDMI_FC_AVIETB0);
1051         hdmi_writeb(hdmi, (frame.top_bar >> 8) & 0xff, HDMI_FC_AVIETB1);
1052         hdmi_writeb(hdmi, frame.bottom_bar & 0xff, HDMI_FC_AVISBB0);
1053         hdmi_writeb(hdmi, (frame.bottom_bar >> 8) & 0xff, HDMI_FC_AVISBB1);
1054         hdmi_writeb(hdmi, frame.left_bar & 0xff, HDMI_FC_AVIELB0);
1055         hdmi_writeb(hdmi, (frame.left_bar >> 8) & 0xff, HDMI_FC_AVIELB1);
1056         hdmi_writeb(hdmi, frame.right_bar & 0xff, HDMI_FC_AVISRB0);
1057         hdmi_writeb(hdmi, (frame.right_bar >> 8) & 0xff, HDMI_FC_AVISRB1);
1058 }
1059
1060 static void hdmi_av_composer(struct dw_hdmi *hdmi,
1061                              const struct drm_display_mode *mode)
1062 {
1063         u8 inv_val;
1064         struct hdmi_vmode *vmode = &hdmi->hdmi_data.video_mode;
1065         int hblank, vblank, h_de_hs, v_de_vs, hsync_len, vsync_len;
1066
1067         vmode->mpixelclock = mode->clock * 1000;
1068
1069         dev_dbg(hdmi->dev, "final pixclk = %d\n", vmode->mpixelclock);
1070
1071         /* Set up HDMI_FC_INVIDCONF */
1072         inv_val = (hdmi->hdmi_data.hdcp_enable ?
1073                 HDMI_FC_INVIDCONF_HDCP_KEEPOUT_ACTIVE :
1074                 HDMI_FC_INVIDCONF_HDCP_KEEPOUT_INACTIVE);
1075
1076         inv_val |= mode->flags & DRM_MODE_FLAG_PVSYNC ?
1077                 HDMI_FC_INVIDCONF_VSYNC_IN_POLARITY_ACTIVE_HIGH :
1078                 HDMI_FC_INVIDCONF_VSYNC_IN_POLARITY_ACTIVE_LOW;
1079
1080         inv_val |= mode->flags & DRM_MODE_FLAG_PHSYNC ?
1081                 HDMI_FC_INVIDCONF_HSYNC_IN_POLARITY_ACTIVE_HIGH :
1082                 HDMI_FC_INVIDCONF_HSYNC_IN_POLARITY_ACTIVE_LOW;
1083
1084         inv_val |= (vmode->mdataenablepolarity ?
1085                 HDMI_FC_INVIDCONF_DE_IN_POLARITY_ACTIVE_HIGH :
1086                 HDMI_FC_INVIDCONF_DE_IN_POLARITY_ACTIVE_LOW);
1087
1088         if (hdmi->vic == 39)
1089                 inv_val |= HDMI_FC_INVIDCONF_R_V_BLANK_IN_OSC_ACTIVE_HIGH;
1090         else
1091                 inv_val |= mode->flags & DRM_MODE_FLAG_INTERLACE ?
1092                         HDMI_FC_INVIDCONF_R_V_BLANK_IN_OSC_ACTIVE_HIGH :
1093                         HDMI_FC_INVIDCONF_R_V_BLANK_IN_OSC_ACTIVE_LOW;
1094
1095         inv_val |= mode->flags & DRM_MODE_FLAG_INTERLACE ?
1096                 HDMI_FC_INVIDCONF_IN_I_P_INTERLACED :
1097                 HDMI_FC_INVIDCONF_IN_I_P_PROGRESSIVE;
1098
1099         inv_val |= hdmi->sink_is_hdmi ?
1100                 HDMI_FC_INVIDCONF_DVI_MODEZ_HDMI_MODE :
1101                 HDMI_FC_INVIDCONF_DVI_MODEZ_DVI_MODE;
1102
1103         hdmi_writeb(hdmi, inv_val, HDMI_FC_INVIDCONF);
1104
1105         /* Set up horizontal active pixel width */
1106         hdmi_writeb(hdmi, mode->hdisplay >> 8, HDMI_FC_INHACTV1);
1107         hdmi_writeb(hdmi, mode->hdisplay, HDMI_FC_INHACTV0);
1108
1109         /* Set up vertical active lines */
1110         hdmi_writeb(hdmi, mode->vdisplay >> 8, HDMI_FC_INVACTV1);
1111         hdmi_writeb(hdmi, mode->vdisplay, HDMI_FC_INVACTV0);
1112
1113         /* Set up horizontal blanking pixel region width */
1114         hblank = mode->htotal - mode->hdisplay;
1115         hdmi_writeb(hdmi, hblank >> 8, HDMI_FC_INHBLANK1);
1116         hdmi_writeb(hdmi, hblank, HDMI_FC_INHBLANK0);
1117
1118         /* Set up vertical blanking pixel region width */
1119         vblank = mode->vtotal - mode->vdisplay;
1120         hdmi_writeb(hdmi, vblank, HDMI_FC_INVBLANK);
1121
1122         /* Set up HSYNC active edge delay width (in pixel clks) */
1123         h_de_hs = mode->hsync_start - mode->hdisplay;
1124         hdmi_writeb(hdmi, h_de_hs >> 8, HDMI_FC_HSYNCINDELAY1);
1125         hdmi_writeb(hdmi, h_de_hs, HDMI_FC_HSYNCINDELAY0);
1126
1127         /* Set up VSYNC active edge delay (in lines) */
1128         v_de_vs = mode->vsync_start - mode->vdisplay;
1129         hdmi_writeb(hdmi, v_de_vs, HDMI_FC_VSYNCINDELAY);
1130
1131         /* Set up HSYNC active pulse width (in pixel clks) */
1132         hsync_len = mode->hsync_end - mode->hsync_start;
1133         hdmi_writeb(hdmi, hsync_len >> 8, HDMI_FC_HSYNCINWIDTH1);
1134         hdmi_writeb(hdmi, hsync_len, HDMI_FC_HSYNCINWIDTH0);
1135
1136         /* Set up VSYNC active edge delay (in lines) */
1137         vsync_len = mode->vsync_end - mode->vsync_start;
1138         hdmi_writeb(hdmi, vsync_len, HDMI_FC_VSYNCINWIDTH);
1139 }
1140
1141 static void dw_hdmi_phy_disable(struct dw_hdmi *hdmi)
1142 {
1143         if (!hdmi->phy_enabled)
1144                 return;
1145
1146         dw_hdmi_phy_enable_tmds(hdmi, 0);
1147         dw_hdmi_phy_enable_powerdown(hdmi, true);
1148
1149         hdmi->phy_enabled = false;
1150 }
1151
1152 /* HDMI Initialization Step B.4 */
1153 static void dw_hdmi_enable_video_path(struct dw_hdmi *hdmi)
1154 {
1155         u8 clkdis;
1156
1157         /* control period minimum duration */
1158         hdmi_writeb(hdmi, 12, HDMI_FC_CTRLDUR);
1159         hdmi_writeb(hdmi, 32, HDMI_FC_EXCTRLDUR);
1160         hdmi_writeb(hdmi, 1, HDMI_FC_EXCTRLSPAC);
1161
1162         /* Set to fill TMDS data channels */
1163         hdmi_writeb(hdmi, 0x0B, HDMI_FC_CH0PREAM);
1164         hdmi_writeb(hdmi, 0x16, HDMI_FC_CH1PREAM);
1165         hdmi_writeb(hdmi, 0x21, HDMI_FC_CH2PREAM);
1166
1167         /* Enable pixel clock and tmds data path */
1168         clkdis = 0x7F;
1169         clkdis &= ~HDMI_MC_CLKDIS_PIXELCLK_DISABLE;
1170         hdmi_writeb(hdmi, clkdis, HDMI_MC_CLKDIS);
1171
1172         clkdis &= ~HDMI_MC_CLKDIS_TMDSCLK_DISABLE;
1173         hdmi_writeb(hdmi, clkdis, HDMI_MC_CLKDIS);
1174
1175         /* Enable csc path */
1176         if (is_color_space_conversion(hdmi)) {
1177                 clkdis &= ~HDMI_MC_CLKDIS_CSCCLK_DISABLE;
1178                 hdmi_writeb(hdmi, clkdis, HDMI_MC_CLKDIS);
1179         }
1180 }
1181
1182 static void hdmi_enable_audio_clk(struct dw_hdmi *hdmi)
1183 {
1184         hdmi_modb(hdmi, 0, HDMI_MC_CLKDIS_AUDCLK_DISABLE, HDMI_MC_CLKDIS);
1185 }
1186
1187 /* Workaround to clear the overflow condition */
1188 static void dw_hdmi_clear_overflow(struct dw_hdmi *hdmi)
1189 {
1190         int count;
1191         u8 val;
1192
1193         /* TMDS software reset */
1194         hdmi_writeb(hdmi, (u8)~HDMI_MC_SWRSTZ_TMDSSWRST_REQ, HDMI_MC_SWRSTZ);
1195
1196         val = hdmi_readb(hdmi, HDMI_FC_INVIDCONF);
1197         if (hdmi->dev_type == IMX6DL_HDMI) {
1198                 hdmi_writeb(hdmi, val, HDMI_FC_INVIDCONF);
1199                 return;
1200         }
1201
1202         for (count = 0; count < 4; count++)
1203                 hdmi_writeb(hdmi, val, HDMI_FC_INVIDCONF);
1204 }
1205
1206 static void hdmi_enable_overflow_interrupts(struct dw_hdmi *hdmi)
1207 {
1208         hdmi_writeb(hdmi, 0, HDMI_FC_MASK2);
1209         hdmi_writeb(hdmi, 0, HDMI_IH_MUTE_FC_STAT2);
1210 }
1211
1212 static void hdmi_disable_overflow_interrupts(struct dw_hdmi *hdmi)
1213 {
1214         hdmi_writeb(hdmi, HDMI_IH_MUTE_FC_STAT2_OVERFLOW_MASK,
1215                     HDMI_IH_MUTE_FC_STAT2);
1216 }
1217
1218 static int dw_hdmi_setup(struct dw_hdmi *hdmi, struct drm_display_mode *mode)
1219 {
1220         int ret;
1221
1222         hdmi_disable_overflow_interrupts(hdmi);
1223
1224         hdmi->vic = drm_match_cea_mode(mode);
1225
1226         if (!hdmi->vic) {
1227                 dev_dbg(hdmi->dev, "Non-CEA mode used in HDMI\n");
1228         } else {
1229                 dev_dbg(hdmi->dev, "CEA mode used vic=%d\n", hdmi->vic);
1230         }
1231
1232         if ((hdmi->vic == 6) || (hdmi->vic == 7) ||
1233             (hdmi->vic == 21) || (hdmi->vic == 22) ||
1234             (hdmi->vic == 2) || (hdmi->vic == 3) ||
1235             (hdmi->vic == 17) || (hdmi->vic == 18))
1236                 hdmi->hdmi_data.colorimetry = HDMI_COLORIMETRY_ITU_601;
1237         else
1238                 hdmi->hdmi_data.colorimetry = HDMI_COLORIMETRY_ITU_709;
1239
1240         hdmi->hdmi_data.video_mode.mpixelrepetitionoutput = 0;
1241         hdmi->hdmi_data.video_mode.mpixelrepetitioninput = 0;
1242
1243         /* TODO: Get input format from IPU (via FB driver interface) */
1244         hdmi->hdmi_data.enc_in_format = RGB;
1245
1246         hdmi->hdmi_data.enc_out_format = RGB;
1247
1248         hdmi->hdmi_data.enc_color_depth = 8;
1249         hdmi->hdmi_data.pix_repet_factor = 0;
1250         hdmi->hdmi_data.hdcp_enable = 0;
1251         hdmi->hdmi_data.video_mode.mdataenablepolarity = true;
1252
1253         /* HDMI Initialization Step B.1 */
1254         hdmi_av_composer(hdmi, mode);
1255
1256         /* HDMI Initializateion Step B.2 */
1257         ret = dw_hdmi_phy_init(hdmi);
1258         if (ret)
1259                 return ret;
1260
1261         /* HDMI Initialization Step B.3 */
1262         dw_hdmi_enable_video_path(hdmi);
1263
1264         if (hdmi->sink_has_audio) {
1265                 dev_dbg(hdmi->dev, "sink has audio support\n");
1266
1267                 /* HDMI Initialization Step E - Configure audio */
1268                 hdmi_clk_regenerator_update_pixel_clock(hdmi);
1269                 hdmi_enable_audio_clk(hdmi);
1270         }
1271
1272         /* not for DVI mode */
1273         if (hdmi->sink_is_hdmi) {
1274                 dev_dbg(hdmi->dev, "%s HDMI mode\n", __func__);
1275
1276                 /* HDMI Initialization Step F - Configure AVI InfoFrame */
1277                 hdmi_config_AVI(hdmi, mode);
1278         } else {
1279                 dev_dbg(hdmi->dev, "%s DVI mode\n", __func__);
1280         }
1281
1282         hdmi_video_packetize(hdmi);
1283         hdmi_video_csc(hdmi);
1284         hdmi_video_sample(hdmi);
1285         hdmi_tx_hdcp_config(hdmi);
1286
1287         dw_hdmi_clear_overflow(hdmi);
1288         if (hdmi->cable_plugin && hdmi->sink_is_hdmi)
1289                 hdmi_enable_overflow_interrupts(hdmi);
1290
1291         return 0;
1292 }
1293
1294 /* Wait until we are registered to enable interrupts */
1295 static int dw_hdmi_fb_registered(struct dw_hdmi *hdmi)
1296 {
1297         hdmi_writeb(hdmi, HDMI_PHY_I2CM_INT_ADDR_DONE_POL,
1298                     HDMI_PHY_I2CM_INT_ADDR);
1299
1300         hdmi_writeb(hdmi, HDMI_PHY_I2CM_CTLINT_ADDR_NAC_POL |
1301                     HDMI_PHY_I2CM_CTLINT_ADDR_ARBITRATION_POL,
1302                     HDMI_PHY_I2CM_CTLINT_ADDR);
1303
1304         /* enable cable hot plug irq */
1305         hdmi_writeb(hdmi, (u8)~HDMI_PHY_HPD, HDMI_PHY_MASK0);
1306
1307         /* Clear Hotplug interrupts */
1308         hdmi_writeb(hdmi, HDMI_IH_PHY_STAT0_HPD, HDMI_IH_PHY_STAT0);
1309
1310         return 0;
1311 }
1312
1313 static void initialize_hdmi_ih_mutes(struct dw_hdmi *hdmi)
1314 {
1315         u8 ih_mute;
1316
1317         /*
1318          * Boot up defaults are:
1319          * HDMI_IH_MUTE   = 0x03 (disabled)
1320          * HDMI_IH_MUTE_* = 0x00 (enabled)
1321          *
1322          * Disable top level interrupt bits in HDMI block
1323          */
1324         ih_mute = hdmi_readb(hdmi, HDMI_IH_MUTE) |
1325                   HDMI_IH_MUTE_MUTE_WAKEUP_INTERRUPT |
1326                   HDMI_IH_MUTE_MUTE_ALL_INTERRUPT;
1327
1328         hdmi_writeb(hdmi, ih_mute, HDMI_IH_MUTE);
1329
1330         /* by default mask all interrupts */
1331         hdmi_writeb(hdmi, 0xff, HDMI_VP_MASK);
1332         hdmi_writeb(hdmi, 0xff, HDMI_FC_MASK0);
1333         hdmi_writeb(hdmi, 0xff, HDMI_FC_MASK1);
1334         hdmi_writeb(hdmi, 0xff, HDMI_FC_MASK2);
1335         hdmi_writeb(hdmi, 0xff, HDMI_PHY_MASK0);
1336         hdmi_writeb(hdmi, 0xff, HDMI_PHY_I2CM_INT_ADDR);
1337         hdmi_writeb(hdmi, 0xff, HDMI_PHY_I2CM_CTLINT_ADDR);
1338         hdmi_writeb(hdmi, 0xff, HDMI_AUD_INT);
1339         hdmi_writeb(hdmi, 0xff, HDMI_AUD_SPDIFINT);
1340         hdmi_writeb(hdmi, 0xff, HDMI_AUD_HBR_MASK);
1341         hdmi_writeb(hdmi, 0xff, HDMI_GP_MASK);
1342         hdmi_writeb(hdmi, 0xff, HDMI_A_APIINTMSK);
1343         hdmi_writeb(hdmi, 0xff, HDMI_CEC_MASK);
1344         hdmi_writeb(hdmi, 0xff, HDMI_I2CM_INT);
1345         hdmi_writeb(hdmi, 0xff, HDMI_I2CM_CTLINT);
1346
1347         /* Disable interrupts in the IH_MUTE_* registers */
1348         hdmi_writeb(hdmi, 0xff, HDMI_IH_MUTE_FC_STAT0);
1349         hdmi_writeb(hdmi, 0xff, HDMI_IH_MUTE_FC_STAT1);
1350         hdmi_writeb(hdmi, 0xff, HDMI_IH_MUTE_FC_STAT2);
1351         hdmi_writeb(hdmi, 0xff, HDMI_IH_MUTE_AS_STAT0);
1352         hdmi_writeb(hdmi, 0xff, HDMI_IH_MUTE_PHY_STAT0);
1353         hdmi_writeb(hdmi, 0xff, HDMI_IH_MUTE_I2CM_STAT0);
1354         hdmi_writeb(hdmi, 0xff, HDMI_IH_MUTE_CEC_STAT0);
1355         hdmi_writeb(hdmi, 0xff, HDMI_IH_MUTE_VP_STAT0);
1356         hdmi_writeb(hdmi, 0xff, HDMI_IH_MUTE_I2CMPHY_STAT0);
1357         hdmi_writeb(hdmi, 0xff, HDMI_IH_MUTE_AHBDMAAUD_STAT0);
1358
1359         /* Enable top level interrupt bits in HDMI block */
1360         ih_mute &= ~(HDMI_IH_MUTE_MUTE_WAKEUP_INTERRUPT |
1361                     HDMI_IH_MUTE_MUTE_ALL_INTERRUPT);
1362         hdmi_writeb(hdmi, ih_mute, HDMI_IH_MUTE);
1363 }
1364
1365 static void dw_hdmi_poweron(struct dw_hdmi *hdmi)
1366 {
1367         dw_hdmi_setup(hdmi, &hdmi->previous_mode);
1368 }
1369
1370 static void dw_hdmi_poweroff(struct dw_hdmi *hdmi)
1371 {
1372         dw_hdmi_phy_disable(hdmi);
1373 }
1374
1375 static void dw_hdmi_bridge_mode_set(struct drm_bridge *bridge,
1376                                     struct drm_display_mode *orig_mode,
1377                                     struct drm_display_mode *mode)
1378 {
1379         struct dw_hdmi *hdmi = bridge->driver_private;
1380
1381         mutex_lock(&hdmi->mutex);
1382
1383         /* Store the display mode for plugin/DKMS poweron events */
1384         memcpy(&hdmi->previous_mode, mode, sizeof(hdmi->previous_mode));
1385
1386         mutex_unlock(&hdmi->mutex);
1387 }
1388
1389 static bool dw_hdmi_bridge_mode_fixup(struct drm_bridge *bridge,
1390                                       const struct drm_display_mode *mode,
1391                                       struct drm_display_mode *adjusted_mode)
1392 {
1393         return true;
1394 }
1395
1396 static void dw_hdmi_bridge_disable(struct drm_bridge *bridge)
1397 {
1398         struct dw_hdmi *hdmi = bridge->driver_private;
1399
1400         mutex_lock(&hdmi->mutex);
1401         hdmi->disabled = true;
1402         dw_hdmi_poweroff(hdmi);
1403         mutex_unlock(&hdmi->mutex);
1404 }
1405
1406 static void dw_hdmi_bridge_enable(struct drm_bridge *bridge)
1407 {
1408         struct dw_hdmi *hdmi = bridge->driver_private;
1409
1410         mutex_lock(&hdmi->mutex);
1411         dw_hdmi_poweron(hdmi);
1412         hdmi->disabled = false;
1413         mutex_unlock(&hdmi->mutex);
1414 }
1415
1416 static void dw_hdmi_bridge_nop(struct drm_bridge *bridge)
1417 {
1418         /* do nothing */
1419 }
1420
1421 static enum drm_connector_status
1422 dw_hdmi_connector_detect(struct drm_connector *connector, bool force)
1423 {
1424         struct dw_hdmi *hdmi = container_of(connector, struct dw_hdmi,
1425                                              connector);
1426
1427         return hdmi_readb(hdmi, HDMI_PHY_STAT0) & HDMI_PHY_HPD ?
1428                 connector_status_connected : connector_status_disconnected;
1429 }
1430
1431 static int dw_hdmi_connector_get_modes(struct drm_connector *connector)
1432 {
1433         struct dw_hdmi *hdmi = container_of(connector, struct dw_hdmi,
1434                                              connector);
1435         struct edid *edid;
1436         int ret = 0;
1437
1438         if (!hdmi->ddc)
1439                 return 0;
1440
1441         edid = drm_get_edid(connector, hdmi->ddc);
1442         if (edid) {
1443                 dev_dbg(hdmi->dev, "got edid: width[%d] x height[%d]\n",
1444                         edid->width_cm, edid->height_cm);
1445
1446                 hdmi->sink_is_hdmi = drm_detect_hdmi_monitor(edid);
1447                 hdmi->sink_has_audio = drm_detect_monitor_audio(edid);
1448                 drm_mode_connector_update_edid_property(connector, edid);
1449                 ret = drm_add_edid_modes(connector, edid);
1450                 kfree(edid);
1451         } else {
1452                 dev_dbg(hdmi->dev, "failed to get edid\n");
1453         }
1454
1455         return ret;
1456 }
1457
1458 static enum drm_mode_status
1459 dw_hdmi_connector_mode_valid(struct drm_connector *connector,
1460                              struct drm_display_mode *mode)
1461 {
1462         struct dw_hdmi *hdmi = container_of(connector,
1463                                            struct dw_hdmi, connector);
1464         enum drm_mode_status mode_status = MODE_OK;
1465
1466         /* We don't support double-clocked modes */
1467         if (mode->flags & DRM_MODE_FLAG_DBLCLK)
1468                 return MODE_BAD;
1469
1470         if (hdmi->plat_data->mode_valid)
1471                 mode_status = hdmi->plat_data->mode_valid(connector, mode);
1472
1473         return mode_status;
1474 }
1475
1476 static struct drm_encoder *dw_hdmi_connector_best_encoder(struct drm_connector
1477                                                            *connector)
1478 {
1479         struct dw_hdmi *hdmi = container_of(connector, struct dw_hdmi,
1480                                              connector);
1481
1482         return hdmi->encoder;
1483 }
1484
1485 static void dw_hdmi_connector_destroy(struct drm_connector *connector)
1486 {
1487         drm_connector_unregister(connector);
1488         drm_connector_cleanup(connector);
1489 }
1490
1491 static struct drm_connector_funcs dw_hdmi_connector_funcs = {
1492         .dpms = drm_helper_connector_dpms,
1493         .fill_modes = drm_helper_probe_single_connector_modes,
1494         .detect = dw_hdmi_connector_detect,
1495         .destroy = dw_hdmi_connector_destroy,
1496 };
1497
1498 static struct drm_connector_helper_funcs dw_hdmi_connector_helper_funcs = {
1499         .get_modes = dw_hdmi_connector_get_modes,
1500         .mode_valid = dw_hdmi_connector_mode_valid,
1501         .best_encoder = dw_hdmi_connector_best_encoder,
1502 };
1503
1504 static struct drm_bridge_funcs dw_hdmi_bridge_funcs = {
1505         .enable = dw_hdmi_bridge_enable,
1506         .disable = dw_hdmi_bridge_disable,
1507         .pre_enable = dw_hdmi_bridge_nop,
1508         .post_disable = dw_hdmi_bridge_nop,
1509         .mode_set = dw_hdmi_bridge_mode_set,
1510         .mode_fixup = dw_hdmi_bridge_mode_fixup,
1511 };
1512
1513 static irqreturn_t dw_hdmi_hardirq(int irq, void *dev_id)
1514 {
1515         struct dw_hdmi *hdmi = dev_id;
1516         u8 intr_stat;
1517
1518         intr_stat = hdmi_readb(hdmi, HDMI_IH_PHY_STAT0);
1519         if (intr_stat)
1520                 hdmi_writeb(hdmi, ~0, HDMI_IH_MUTE_PHY_STAT0);
1521
1522         return intr_stat ? IRQ_WAKE_THREAD : IRQ_NONE;
1523 }
1524
1525 static irqreturn_t dw_hdmi_irq(int irq, void *dev_id)
1526 {
1527         struct dw_hdmi *hdmi = dev_id;
1528         u8 intr_stat;
1529         u8 phy_int_pol;
1530
1531         intr_stat = hdmi_readb(hdmi, HDMI_IH_PHY_STAT0);
1532
1533         phy_int_pol = hdmi_readb(hdmi, HDMI_PHY_POL0);
1534
1535         if (intr_stat & HDMI_IH_PHY_STAT0_HPD) {
1536                 hdmi_modb(hdmi, ~phy_int_pol, HDMI_PHY_HPD, HDMI_PHY_POL0);
1537                 mutex_lock(&hdmi->mutex);
1538                 if (phy_int_pol & HDMI_PHY_HPD) {
1539                         dev_dbg(hdmi->dev, "EVENT=plugin\n");
1540
1541                         if (!hdmi->disabled)
1542                                 dw_hdmi_poweron(hdmi);
1543                 } else {
1544                         dev_dbg(hdmi->dev, "EVENT=plugout\n");
1545
1546                         if (!hdmi->disabled)
1547                                 dw_hdmi_poweroff(hdmi);
1548                 }
1549                 mutex_unlock(&hdmi->mutex);
1550                 drm_helper_hpd_irq_event(hdmi->bridge->dev);
1551         }
1552
1553         hdmi_writeb(hdmi, intr_stat, HDMI_IH_PHY_STAT0);
1554         hdmi_writeb(hdmi, ~HDMI_IH_PHY_STAT0_HPD, HDMI_IH_MUTE_PHY_STAT0);
1555
1556         return IRQ_HANDLED;
1557 }
1558
1559 static int dw_hdmi_register(struct drm_device *drm, struct dw_hdmi *hdmi)
1560 {
1561         struct drm_encoder *encoder = hdmi->encoder;
1562         struct drm_bridge *bridge;
1563         int ret;
1564
1565         bridge = devm_kzalloc(drm->dev, sizeof(*bridge), GFP_KERNEL);
1566         if (!bridge) {
1567                 DRM_ERROR("Failed to allocate drm bridge\n");
1568                 return -ENOMEM;
1569         }
1570
1571         hdmi->bridge = bridge;
1572         bridge->driver_private = hdmi;
1573         bridge->funcs = &dw_hdmi_bridge_funcs;
1574         ret = drm_bridge_attach(drm, bridge);
1575         if (ret) {
1576                 DRM_ERROR("Failed to initialize bridge with drm\n");
1577                 return -EINVAL;
1578         }
1579
1580         encoder->bridge = bridge;
1581         hdmi->connector.polled = DRM_CONNECTOR_POLL_HPD;
1582
1583         drm_connector_helper_add(&hdmi->connector,
1584                                  &dw_hdmi_connector_helper_funcs);
1585         drm_connector_init(drm, &hdmi->connector, &dw_hdmi_connector_funcs,
1586                            DRM_MODE_CONNECTOR_HDMIA);
1587
1588         hdmi->connector.encoder = encoder;
1589
1590         drm_mode_connector_attach_encoder(&hdmi->connector, encoder);
1591
1592         return 0;
1593 }
1594
1595 int dw_hdmi_bind(struct device *dev, struct device *master,
1596                  void *data, struct drm_encoder *encoder,
1597                  struct resource *iores, int irq,
1598                  const struct dw_hdmi_plat_data *plat_data)
1599 {
1600         struct drm_device *drm = data;
1601         struct device_node *np = dev->of_node;
1602         struct device_node *ddc_node;
1603         struct dw_hdmi *hdmi;
1604         int ret;
1605         u32 val = 1;
1606
1607         hdmi = devm_kzalloc(dev, sizeof(*hdmi), GFP_KERNEL);
1608         if (!hdmi)
1609                 return -ENOMEM;
1610
1611         hdmi->plat_data = plat_data;
1612         hdmi->dev = dev;
1613         hdmi->dev_type = plat_data->dev_type;
1614         hdmi->sample_rate = 48000;
1615         hdmi->ratio = 100;
1616         hdmi->encoder = encoder;
1617         hdmi->disabled = true;
1618
1619         mutex_init(&hdmi->mutex);
1620         mutex_init(&hdmi->audio_mutex);
1621         spin_lock_init(&hdmi->audio_lock);
1622
1623         of_property_read_u32(np, "reg-io-width", &val);
1624
1625         switch (val) {
1626         case 4:
1627                 hdmi->write = dw_hdmi_writel;
1628                 hdmi->read = dw_hdmi_readl;
1629                 break;
1630         case 1:
1631                 hdmi->write = dw_hdmi_writeb;
1632                 hdmi->read = dw_hdmi_readb;
1633                 break;
1634         default:
1635                 dev_err(dev, "reg-io-width must be 1 or 4\n");
1636                 return -EINVAL;
1637         }
1638
1639         ddc_node = of_parse_phandle(np, "ddc-i2c-bus", 0);
1640         if (ddc_node) {
1641                 hdmi->ddc = of_find_i2c_adapter_by_node(ddc_node);
1642                 of_node_put(ddc_node);
1643                 if (!hdmi->ddc) {
1644                         dev_dbg(hdmi->dev, "failed to read ddc node\n");
1645                         return -EPROBE_DEFER;
1646                 }
1647
1648         } else {
1649                 dev_dbg(hdmi->dev, "no ddc property found\n");
1650         }
1651
1652         hdmi->regs = devm_ioremap_resource(dev, iores);
1653         if (IS_ERR(hdmi->regs))
1654                 return PTR_ERR(hdmi->regs);
1655
1656         hdmi->isfr_clk = devm_clk_get(hdmi->dev, "isfr");
1657         if (IS_ERR(hdmi->isfr_clk)) {
1658                 ret = PTR_ERR(hdmi->isfr_clk);
1659                 dev_err(hdmi->dev, "Unable to get HDMI isfr clk: %d\n", ret);
1660                 return ret;
1661         }
1662
1663         ret = clk_prepare_enable(hdmi->isfr_clk);
1664         if (ret) {
1665                 dev_err(hdmi->dev, "Cannot enable HDMI isfr clock: %d\n", ret);
1666                 return ret;
1667         }
1668
1669         hdmi->iahb_clk = devm_clk_get(hdmi->dev, "iahb");
1670         if (IS_ERR(hdmi->iahb_clk)) {
1671                 ret = PTR_ERR(hdmi->iahb_clk);
1672                 dev_err(hdmi->dev, "Unable to get HDMI iahb clk: %d\n", ret);
1673                 goto err_isfr;
1674         }
1675
1676         ret = clk_prepare_enable(hdmi->iahb_clk);
1677         if (ret) {
1678                 dev_err(hdmi->dev, "Cannot enable HDMI iahb clock: %d\n", ret);
1679                 goto err_isfr;
1680         }
1681
1682         /* Product and revision IDs */
1683         dev_info(dev,
1684                  "Detected HDMI controller 0x%x:0x%x:0x%x:0x%x\n",
1685                  hdmi_readb(hdmi, HDMI_DESIGN_ID),
1686                  hdmi_readb(hdmi, HDMI_REVISION_ID),
1687                  hdmi_readb(hdmi, HDMI_PRODUCT_ID0),
1688                  hdmi_readb(hdmi, HDMI_PRODUCT_ID1));
1689
1690         initialize_hdmi_ih_mutes(hdmi);
1691
1692         ret = devm_request_threaded_irq(dev, irq, dw_hdmi_hardirq,
1693                                         dw_hdmi_irq, IRQF_SHARED,
1694                                         dev_name(dev), hdmi);
1695         if (ret)
1696                 goto err_iahb;
1697
1698         /*
1699          * To prevent overflows in HDMI_IH_FC_STAT2, set the clk regenerator
1700          * N and cts values before enabling phy
1701          */
1702         hdmi_init_clk_regenerator(hdmi);
1703
1704         /*
1705          * Configure registers related to HDMI interrupt
1706          * generation before registering IRQ.
1707          */
1708         hdmi_writeb(hdmi, HDMI_PHY_HPD, HDMI_PHY_POL0);
1709
1710         /* Clear Hotplug interrupts */
1711         hdmi_writeb(hdmi, HDMI_IH_PHY_STAT0_HPD, HDMI_IH_PHY_STAT0);
1712
1713         ret = dw_hdmi_fb_registered(hdmi);
1714         if (ret)
1715                 goto err_iahb;
1716
1717         ret = dw_hdmi_register(drm, hdmi);
1718         if (ret)
1719                 goto err_iahb;
1720
1721         /* Unmute interrupts */
1722         hdmi_writeb(hdmi, ~HDMI_IH_PHY_STAT0_HPD, HDMI_IH_MUTE_PHY_STAT0);
1723
1724         dev_set_drvdata(dev, hdmi);
1725
1726         return 0;
1727
1728 err_iahb:
1729         clk_disable_unprepare(hdmi->iahb_clk);
1730 err_isfr:
1731         clk_disable_unprepare(hdmi->isfr_clk);
1732
1733         return ret;
1734 }
1735 EXPORT_SYMBOL_GPL(dw_hdmi_bind);
1736
1737 void dw_hdmi_unbind(struct device *dev, struct device *master, void *data)
1738 {
1739         struct dw_hdmi *hdmi = dev_get_drvdata(dev);
1740
1741         /* Disable all interrupts */
1742         hdmi_writeb(hdmi, ~0, HDMI_IH_MUTE_PHY_STAT0);
1743
1744         hdmi->connector.funcs->destroy(&hdmi->connector);
1745         hdmi->encoder->funcs->destroy(hdmi->encoder);
1746
1747         clk_disable_unprepare(hdmi->iahb_clk);
1748         clk_disable_unprepare(hdmi->isfr_clk);
1749         i2c_put_adapter(hdmi->ddc);
1750 }
1751 EXPORT_SYMBOL_GPL(dw_hdmi_unbind);
1752
1753 MODULE_AUTHOR("Sascha Hauer <s.hauer@pengutronix.de>");
1754 MODULE_AUTHOR("Andy Yan <andy.yan@rock-chips.com>");
1755 MODULE_AUTHOR("Yakir Yang <ykk@rock-chips.com>");
1756 MODULE_DESCRIPTION("DW HDMI transmitter driver");
1757 MODULE_LICENSE("GPL");
1758 MODULE_ALIAS("platform:dw-hdmi");