]> git.kernelconcepts.de Git - karo-tx-linux.git/blob - drivers/net/wireless/iwlwifi/mvm/fw-api.h
Merge tag 'v4.3-rc1' into spi-fix-doc
[karo-tx-linux.git] / drivers / net / wireless / iwlwifi / mvm / fw-api.h
1 /******************************************************************************
2  *
3  * This file is provided under a dual BSD/GPLv2 license.  When using or
4  * redistributing this file, you may do so under either license.
5  *
6  * GPL LICENSE SUMMARY
7  *
8  * Copyright(c) 2012 - 2014 Intel Corporation. All rights reserved.
9  * Copyright(c) 2013 - 2015 Intel Mobile Communications GmbH
10  *
11  * This program is free software; you can redistribute it and/or modify
12  * it under the terms of version 2 of the GNU General Public License as
13  * published by the Free Software Foundation.
14  *
15  * This program is distributed in the hope that it will be useful, but
16  * WITHOUT ANY WARRANTY; without even the implied warranty of
17  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
18  * General Public License for more details.
19  *
20  * You should have received a copy of the GNU General Public License
21  * along with this program; if not, write to the Free Software
22  * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110,
23  * USA
24  *
25  * The full GNU General Public License is included in this distribution
26  * in the file called COPYING.
27  *
28  * Contact Information:
29  *  Intel Linux Wireless <ilw@linux.intel.com>
30  * Intel Corporation, 5200 N.E. Elam Young Parkway, Hillsboro, OR 97124-6497
31  *
32  * BSD LICENSE
33  *
34  * Copyright(c) 2012 - 2014 Intel Corporation. All rights reserved.
35  * Copyright(c) 2013 - 2015 Intel Mobile Communications GmbH
36  * All rights reserved.
37  *
38  * Redistribution and use in source and binary forms, with or without
39  * modification, are permitted provided that the following conditions
40  * are met:
41  *
42  *  * Redistributions of source code must retain the above copyright
43  *    notice, this list of conditions and the following disclaimer.
44  *  * Redistributions in binary form must reproduce the above copyright
45  *    notice, this list of conditions and the following disclaimer in
46  *    the documentation and/or other materials provided with the
47  *    distribution.
48  *  * Neither the name Intel Corporation nor the names of its
49  *    contributors may be used to endorse or promote products derived
50  *    from this software without specific prior written permission.
51  *
52  * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
53  * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
54  * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
55  * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
56  * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
57  * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
58  * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
59  * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
60  * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
61  * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
62  * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
63  *
64  *****************************************************************************/
65
66 #ifndef __fw_api_h__
67 #define __fw_api_h__
68
69 #include "fw-api-rs.h"
70 #include "fw-api-tx.h"
71 #include "fw-api-sta.h"
72 #include "fw-api-mac.h"
73 #include "fw-api-power.h"
74 #include "fw-api-d3.h"
75 #include "fw-api-coex.h"
76 #include "fw-api-scan.h"
77 #include "fw-api-stats.h"
78 #include "fw-api-tof.h"
79
80 /* Tx queue numbers */
81 enum {
82         IWL_MVM_OFFCHANNEL_QUEUE = 8,
83         IWL_MVM_CMD_QUEUE = 9,
84 };
85
86 enum iwl_mvm_tx_fifo {
87         IWL_MVM_TX_FIFO_BK = 0,
88         IWL_MVM_TX_FIFO_BE,
89         IWL_MVM_TX_FIFO_VI,
90         IWL_MVM_TX_FIFO_VO,
91         IWL_MVM_TX_FIFO_MCAST = 5,
92         IWL_MVM_TX_FIFO_CMD = 7,
93 };
94
95 #define IWL_MVM_STATION_COUNT   16
96
97 #define IWL_MVM_TDLS_STA_COUNT  4
98
99 /* commands */
100 enum {
101         MVM_ALIVE = 0x1,
102         REPLY_ERROR = 0x2,
103
104         INIT_COMPLETE_NOTIF = 0x4,
105
106         /* PHY context commands */
107         PHY_CONTEXT_CMD = 0x8,
108         DBG_CFG = 0x9,
109         ANTENNA_COUPLING_NOTIFICATION = 0xa,
110
111         /* UMAC scan commands */
112         SCAN_ITERATION_COMPLETE_UMAC = 0xb5,
113         SCAN_CFG_CMD = 0xc,
114         SCAN_REQ_UMAC = 0xd,
115         SCAN_ABORT_UMAC = 0xe,
116         SCAN_COMPLETE_UMAC = 0xf,
117
118         /* station table */
119         ADD_STA_KEY = 0x17,
120         ADD_STA = 0x18,
121         REMOVE_STA = 0x19,
122
123         /* paging get item */
124         FW_GET_ITEM_CMD = 0x1a,
125
126         /* TX */
127         TX_CMD = 0x1c,
128         TXPATH_FLUSH = 0x1e,
129         MGMT_MCAST_KEY = 0x1f,
130
131         /* scheduler config */
132         SCD_QUEUE_CFG = 0x1d,
133
134         /* global key */
135         WEP_KEY = 0x20,
136
137         /* Memory */
138         SHARED_MEM_CFG = 0x25,
139
140         /* TDLS */
141         TDLS_CHANNEL_SWITCH_CMD = 0x27,
142         TDLS_CHANNEL_SWITCH_NOTIFICATION = 0xaa,
143         TDLS_CONFIG_CMD = 0xa7,
144
145         /* MAC and Binding commands */
146         MAC_CONTEXT_CMD = 0x28,
147         TIME_EVENT_CMD = 0x29, /* both CMD and response */
148         TIME_EVENT_NOTIFICATION = 0x2a,
149         BINDING_CONTEXT_CMD = 0x2b,
150         TIME_QUOTA_CMD = 0x2c,
151         NON_QOS_TX_COUNTER_CMD = 0x2d,
152
153         LQ_CMD = 0x4e,
154
155         /* paging block to FW cpu2 */
156         FW_PAGING_BLOCK_CMD = 0x4f,
157
158         /* Scan offload */
159         SCAN_OFFLOAD_REQUEST_CMD = 0x51,
160         SCAN_OFFLOAD_ABORT_CMD = 0x52,
161         HOT_SPOT_CMD = 0x53,
162         SCAN_OFFLOAD_COMPLETE = 0x6D,
163         SCAN_OFFLOAD_UPDATE_PROFILES_CMD = 0x6E,
164         SCAN_OFFLOAD_CONFIG_CMD = 0x6f,
165         MATCH_FOUND_NOTIFICATION = 0xd9,
166         SCAN_ITERATION_COMPLETE = 0xe7,
167
168         /* Phy */
169         PHY_CONFIGURATION_CMD = 0x6a,
170         CALIB_RES_NOTIF_PHY_DB = 0x6b,
171         /* PHY_DB_CMD = 0x6c, */
172
173         /* ToF - 802.11mc FTM */
174         TOF_CMD = 0x10,
175         TOF_NOTIFICATION = 0x11,
176
177         /* Power - legacy power table command */
178         POWER_TABLE_CMD = 0x77,
179         PSM_UAPSD_AP_MISBEHAVING_NOTIFICATION = 0x78,
180         LTR_CONFIG = 0xee,
181
182         /* Thermal Throttling*/
183         REPLY_THERMAL_MNG_BACKOFF = 0x7e,
184
185         /* Set/Get DC2DC frequency tune */
186         DC2DC_CONFIG_CMD = 0x83,
187
188         /* NVM */
189         NVM_ACCESS_CMD = 0x88,
190
191         SET_CALIB_DEFAULT_CMD = 0x8e,
192
193         BEACON_NOTIFICATION = 0x90,
194         BEACON_TEMPLATE_CMD = 0x91,
195         TX_ANT_CONFIGURATION_CMD = 0x98,
196         STATISTICS_CMD = 0x9c,
197         STATISTICS_NOTIFICATION = 0x9d,
198         EOSP_NOTIFICATION = 0x9e,
199         REDUCE_TX_POWER_CMD = 0x9f,
200
201         /* RF-KILL commands and notifications */
202         CARD_STATE_CMD = 0xa0,
203         CARD_STATE_NOTIFICATION = 0xa1,
204
205         MISSED_BEACONS_NOTIFICATION = 0xa2,
206
207         /* Power - new power table command */
208         MAC_PM_POWER_TABLE = 0xa9,
209
210         MFUART_LOAD_NOTIFICATION = 0xb1,
211
212         REPLY_RX_PHY_CMD = 0xc0,
213         REPLY_RX_MPDU_CMD = 0xc1,
214         BA_NOTIF = 0xc5,
215
216         /* Location Aware Regulatory */
217         MCC_UPDATE_CMD = 0xc8,
218         MCC_CHUB_UPDATE_CMD = 0xc9,
219
220         MARKER_CMD = 0xcb,
221
222         /* BT Coex */
223         BT_COEX_PRIO_TABLE = 0xcc,
224         BT_COEX_PROT_ENV = 0xcd,
225         BT_PROFILE_NOTIFICATION = 0xce,
226         BT_CONFIG = 0x9b,
227         BT_COEX_UPDATE_SW_BOOST = 0x5a,
228         BT_COEX_UPDATE_CORUN_LUT = 0x5b,
229         BT_COEX_UPDATE_REDUCED_TXP = 0x5c,
230         BT_COEX_CI = 0x5d,
231
232         REPLY_SF_CFG_CMD = 0xd1,
233         REPLY_BEACON_FILTERING_CMD = 0xd2,
234
235         /* DTS measurements */
236         CMD_DTS_MEASUREMENT_TRIGGER = 0xdc,
237         DTS_MEASUREMENT_NOTIFICATION = 0xdd,
238
239         REPLY_DEBUG_CMD = 0xf0,
240         DEBUG_LOG_MSG = 0xf7,
241
242         BCAST_FILTER_CMD = 0xcf,
243         MCAST_FILTER_CMD = 0xd0,
244
245         /* D3 commands/notifications */
246         D3_CONFIG_CMD = 0xd3,
247         PROT_OFFLOAD_CONFIG_CMD = 0xd4,
248         OFFLOADS_QUERY_CMD = 0xd5,
249         REMOTE_WAKE_CONFIG_CMD = 0xd6,
250         D0I3_END_CMD = 0xed,
251
252         /* for WoWLAN in particular */
253         WOWLAN_PATTERNS = 0xe0,
254         WOWLAN_CONFIGURATION = 0xe1,
255         WOWLAN_TSC_RSC_PARAM = 0xe2,
256         WOWLAN_TKIP_PARAM = 0xe3,
257         WOWLAN_KEK_KCK_MATERIAL = 0xe4,
258         WOWLAN_GET_STATUSES = 0xe5,
259         WOWLAN_TX_POWER_PER_DB = 0xe6,
260
261         /* and for NetDetect */
262         SCAN_OFFLOAD_PROFILES_QUERY_CMD = 0x56,
263         SCAN_OFFLOAD_HOTSPOTS_CONFIG_CMD = 0x58,
264         SCAN_OFFLOAD_HOTSPOTS_QUERY_CMD = 0x59,
265
266         REPLY_MAX = 0xff,
267 };
268
269 /**
270  * struct iwl_cmd_response - generic response struct for most commands
271  * @status: status of the command asked, changes for each one
272  */
273 struct iwl_cmd_response {
274         __le32 status;
275 };
276
277 /*
278  * struct iwl_tx_ant_cfg_cmd
279  * @valid: valid antenna configuration
280  */
281 struct iwl_tx_ant_cfg_cmd {
282         __le32 valid;
283 } __packed;
284
285 /*
286  * Calibration control struct.
287  * Sent as part of the phy configuration command.
288  * @flow_trigger: bitmap for which calibrations to perform according to
289  *              flow triggers.
290  * @event_trigger: bitmap for which calibrations to perform according to
291  *              event triggers.
292  */
293 struct iwl_calib_ctrl {
294         __le32 flow_trigger;
295         __le32 event_trigger;
296 } __packed;
297
298 /* This enum defines the bitmap of various calibrations to enable in both
299  * init ucode and runtime ucode through CALIBRATION_CFG_CMD.
300  */
301 enum iwl_calib_cfg {
302         IWL_CALIB_CFG_XTAL_IDX                  = BIT(0),
303         IWL_CALIB_CFG_TEMPERATURE_IDX           = BIT(1),
304         IWL_CALIB_CFG_VOLTAGE_READ_IDX          = BIT(2),
305         IWL_CALIB_CFG_PAPD_IDX                  = BIT(3),
306         IWL_CALIB_CFG_TX_PWR_IDX                = BIT(4),
307         IWL_CALIB_CFG_DC_IDX                    = BIT(5),
308         IWL_CALIB_CFG_BB_FILTER_IDX             = BIT(6),
309         IWL_CALIB_CFG_LO_LEAKAGE_IDX            = BIT(7),
310         IWL_CALIB_CFG_TX_IQ_IDX                 = BIT(8),
311         IWL_CALIB_CFG_TX_IQ_SKEW_IDX            = BIT(9),
312         IWL_CALIB_CFG_RX_IQ_IDX                 = BIT(10),
313         IWL_CALIB_CFG_RX_IQ_SKEW_IDX            = BIT(11),
314         IWL_CALIB_CFG_SENSITIVITY_IDX           = BIT(12),
315         IWL_CALIB_CFG_CHAIN_NOISE_IDX           = BIT(13),
316         IWL_CALIB_CFG_DISCONNECTED_ANT_IDX      = BIT(14),
317         IWL_CALIB_CFG_ANT_COUPLING_IDX          = BIT(15),
318         IWL_CALIB_CFG_DAC_IDX                   = BIT(16),
319         IWL_CALIB_CFG_ABS_IDX                   = BIT(17),
320         IWL_CALIB_CFG_AGC_IDX                   = BIT(18),
321 };
322
323 /*
324  * Phy configuration command.
325  */
326 struct iwl_phy_cfg_cmd {
327         __le32  phy_cfg;
328         struct iwl_calib_ctrl calib_control;
329 } __packed;
330
331 #define PHY_CFG_RADIO_TYPE      (BIT(0) | BIT(1))
332 #define PHY_CFG_RADIO_STEP      (BIT(2) | BIT(3))
333 #define PHY_CFG_RADIO_DASH      (BIT(4) | BIT(5))
334 #define PHY_CFG_PRODUCT_NUMBER  (BIT(6) | BIT(7))
335 #define PHY_CFG_TX_CHAIN_A      BIT(8)
336 #define PHY_CFG_TX_CHAIN_B      BIT(9)
337 #define PHY_CFG_TX_CHAIN_C      BIT(10)
338 #define PHY_CFG_RX_CHAIN_A      BIT(12)
339 #define PHY_CFG_RX_CHAIN_B      BIT(13)
340 #define PHY_CFG_RX_CHAIN_C      BIT(14)
341
342
343 /* Target of the NVM_ACCESS_CMD */
344 enum {
345         NVM_ACCESS_TARGET_CACHE = 0,
346         NVM_ACCESS_TARGET_OTP = 1,
347         NVM_ACCESS_TARGET_EEPROM = 2,
348 };
349
350 /* Section types for NVM_ACCESS_CMD */
351 enum {
352         NVM_SECTION_TYPE_SW = 1,
353         NVM_SECTION_TYPE_REGULATORY = 3,
354         NVM_SECTION_TYPE_CALIBRATION = 4,
355         NVM_SECTION_TYPE_PRODUCTION = 5,
356         NVM_SECTION_TYPE_MAC_OVERRIDE = 11,
357         NVM_SECTION_TYPE_PHY_SKU = 12,
358         NVM_MAX_NUM_SECTIONS = 13,
359 };
360
361 /**
362  * struct iwl_nvm_access_cmd_ver2 - Request the device to send an NVM section
363  * @op_code: 0 - read, 1 - write
364  * @target: NVM_ACCESS_TARGET_*
365  * @type: NVM_SECTION_TYPE_*
366  * @offset: offset in bytes into the section
367  * @length: in bytes, to read/write
368  * @data: if write operation, the data to write. On read its empty
369  */
370 struct iwl_nvm_access_cmd {
371         u8 op_code;
372         u8 target;
373         __le16 type;
374         __le16 offset;
375         __le16 length;
376         u8 data[];
377 } __packed; /* NVM_ACCESS_CMD_API_S_VER_2 */
378
379 #define NUM_OF_FW_PAGING_BLOCKS 33 /* 32 for data and 1 block for CSS */
380
381 /*
382  * struct iwl_fw_paging_cmd - paging layout
383  *
384  * (FW_PAGING_BLOCK_CMD = 0x4f)
385  *
386  * Send to FW the paging layout in the driver.
387  *
388  * @flags: various flags for the command
389  * @block_size: the block size in powers of 2
390  * @block_num: number of blocks specified in the command.
391  * @device_phy_addr: virtual addresses from device side
392 */
393 struct iwl_fw_paging_cmd {
394         __le32 flags;
395         __le32 block_size;
396         __le32 block_num;
397         __le32 device_phy_addr[NUM_OF_FW_PAGING_BLOCKS];
398 } __packed; /* FW_PAGING_BLOCK_CMD_API_S_VER_1 */
399
400 /*
401  * Fw items ID's
402  *
403  * @IWL_FW_ITEM_ID_PAGING: Address of the pages that the FW will upload
404  *      download
405  */
406 enum iwl_fw_item_id {
407         IWL_FW_ITEM_ID_PAGING = 3,
408 };
409
410 /*
411  * struct iwl_fw_get_item_cmd - get an item from the fw
412  */
413 struct iwl_fw_get_item_cmd {
414         __le32 item_id;
415 } __packed; /* FW_GET_ITEM_CMD_API_S_VER_1 */
416
417 struct iwl_fw_get_item_resp {
418         __le32 item_id;
419         __le32 item_byte_cnt;
420         __le32 item_val;
421 } __packed; /* FW_GET_ITEM_RSP_S_VER_1 */
422
423 /**
424  * struct iwl_nvm_access_resp_ver2 - response to NVM_ACCESS_CMD
425  * @offset: offset in bytes into the section
426  * @length: in bytes, either how much was written or read
427  * @type: NVM_SECTION_TYPE_*
428  * @status: 0 for success, fail otherwise
429  * @data: if read operation, the data returned. Empty on write.
430  */
431 struct iwl_nvm_access_resp {
432         __le16 offset;
433         __le16 length;
434         __le16 type;
435         __le16 status;
436         u8 data[];
437 } __packed; /* NVM_ACCESS_CMD_RESP_API_S_VER_2 */
438
439 /* MVM_ALIVE 0x1 */
440
441 /* alive response is_valid values */
442 #define ALIVE_RESP_UCODE_OK     BIT(0)
443 #define ALIVE_RESP_RFKILL       BIT(1)
444
445 /* alive response ver_type values */
446 enum {
447         FW_TYPE_HW = 0,
448         FW_TYPE_PROT = 1,
449         FW_TYPE_AP = 2,
450         FW_TYPE_WOWLAN = 3,
451         FW_TYPE_TIMING = 4,
452         FW_TYPE_WIPAN = 5
453 };
454
455 /* alive response ver_subtype values */
456 enum {
457         FW_SUBTYPE_FULL_FEATURE = 0,
458         FW_SUBTYPE_BOOTSRAP = 1, /* Not valid */
459         FW_SUBTYPE_REDUCED = 2,
460         FW_SUBTYPE_ALIVE_ONLY = 3,
461         FW_SUBTYPE_WOWLAN = 4,
462         FW_SUBTYPE_AP_SUBTYPE = 5,
463         FW_SUBTYPE_WIPAN = 6,
464         FW_SUBTYPE_INITIALIZE = 9
465 };
466
467 #define IWL_ALIVE_STATUS_ERR 0xDEAD
468 #define IWL_ALIVE_STATUS_OK 0xCAFE
469
470 #define IWL_ALIVE_FLG_RFKILL    BIT(0)
471
472 struct mvm_alive_resp_ver1 {
473         __le16 status;
474         __le16 flags;
475         u8 ucode_minor;
476         u8 ucode_major;
477         __le16 id;
478         u8 api_minor;
479         u8 api_major;
480         u8 ver_subtype;
481         u8 ver_type;
482         u8 mac;
483         u8 opt;
484         __le16 reserved2;
485         __le32 timestamp;
486         __le32 error_event_table_ptr;   /* SRAM address for error log */
487         __le32 log_event_table_ptr;     /* SRAM address for event log */
488         __le32 cpu_register_ptr;
489         __le32 dbgm_config_ptr;
490         __le32 alive_counter_ptr;
491         __le32 scd_base_ptr;            /* SRAM address for SCD */
492 } __packed; /* ALIVE_RES_API_S_VER_1 */
493
494 struct mvm_alive_resp_ver2 {
495         __le16 status;
496         __le16 flags;
497         u8 ucode_minor;
498         u8 ucode_major;
499         __le16 id;
500         u8 api_minor;
501         u8 api_major;
502         u8 ver_subtype;
503         u8 ver_type;
504         u8 mac;
505         u8 opt;
506         __le16 reserved2;
507         __le32 timestamp;
508         __le32 error_event_table_ptr;   /* SRAM address for error log */
509         __le32 log_event_table_ptr;     /* SRAM address for LMAC event log */
510         __le32 cpu_register_ptr;
511         __le32 dbgm_config_ptr;
512         __le32 alive_counter_ptr;
513         __le32 scd_base_ptr;            /* SRAM address for SCD */
514         __le32 st_fwrd_addr;            /* pointer to Store and forward */
515         __le32 st_fwrd_size;
516         u8 umac_minor;                  /* UMAC version: minor */
517         u8 umac_major;                  /* UMAC version: major */
518         __le16 umac_id;                 /* UMAC version: id */
519         __le32 error_info_addr;         /* SRAM address for UMAC error log */
520         __le32 dbg_print_buff_addr;
521 } __packed; /* ALIVE_RES_API_S_VER_2 */
522
523 struct mvm_alive_resp {
524         __le16 status;
525         __le16 flags;
526         __le32 ucode_minor;
527         __le32 ucode_major;
528         u8 ver_subtype;
529         u8 ver_type;
530         u8 mac;
531         u8 opt;
532         __le32 timestamp;
533         __le32 error_event_table_ptr;   /* SRAM address for error log */
534         __le32 log_event_table_ptr;     /* SRAM address for LMAC event log */
535         __le32 cpu_register_ptr;
536         __le32 dbgm_config_ptr;
537         __le32 alive_counter_ptr;
538         __le32 scd_base_ptr;            /* SRAM address for SCD */
539         __le32 st_fwrd_addr;            /* pointer to Store and forward */
540         __le32 st_fwrd_size;
541         __le32 umac_minor;              /* UMAC version: minor */
542         __le32 umac_major;              /* UMAC version: major */
543         __le32 error_info_addr;         /* SRAM address for UMAC error log */
544         __le32 dbg_print_buff_addr;
545 } __packed; /* ALIVE_RES_API_S_VER_3 */
546
547 /* Error response/notification */
548 enum {
549         FW_ERR_UNKNOWN_CMD = 0x0,
550         FW_ERR_INVALID_CMD_PARAM = 0x1,
551         FW_ERR_SERVICE = 0x2,
552         FW_ERR_ARC_MEMORY = 0x3,
553         FW_ERR_ARC_CODE = 0x4,
554         FW_ERR_WATCH_DOG = 0x5,
555         FW_ERR_WEP_GRP_KEY_INDX = 0x10,
556         FW_ERR_WEP_KEY_SIZE = 0x11,
557         FW_ERR_OBSOLETE_FUNC = 0x12,
558         FW_ERR_UNEXPECTED = 0xFE,
559         FW_ERR_FATAL = 0xFF
560 };
561
562 /**
563  * struct iwl_error_resp - FW error indication
564  * ( REPLY_ERROR = 0x2 )
565  * @error_type: one of FW_ERR_*
566  * @cmd_id: the command ID for which the error occured
567  * @bad_cmd_seq_num: sequence number of the erroneous command
568  * @error_service: which service created the error, applicable only if
569  *      error_type = 2, otherwise 0
570  * @timestamp: TSF in usecs.
571  */
572 struct iwl_error_resp {
573         __le32 error_type;
574         u8 cmd_id;
575         u8 reserved1;
576         __le16 bad_cmd_seq_num;
577         __le32 error_service;
578         __le64 timestamp;
579 } __packed;
580
581
582 /* Common PHY, MAC and Bindings definitions */
583
584 #define MAX_MACS_IN_BINDING     (3)
585 #define MAX_BINDINGS            (4)
586 #define AUX_BINDING_INDEX       (3)
587 #define MAX_PHYS                (4)
588
589 /* Used to extract ID and color from the context dword */
590 #define FW_CTXT_ID_POS    (0)
591 #define FW_CTXT_ID_MSK    (0xff << FW_CTXT_ID_POS)
592 #define FW_CTXT_COLOR_POS (8)
593 #define FW_CTXT_COLOR_MSK (0xff << FW_CTXT_COLOR_POS)
594 #define FW_CTXT_INVALID   (0xffffffff)
595
596 #define FW_CMD_ID_AND_COLOR(_id, _color) ((_id << FW_CTXT_ID_POS) |\
597                                           (_color << FW_CTXT_COLOR_POS))
598
599 /* Possible actions on PHYs, MACs and Bindings */
600 enum {
601         FW_CTXT_ACTION_STUB = 0,
602         FW_CTXT_ACTION_ADD,
603         FW_CTXT_ACTION_MODIFY,
604         FW_CTXT_ACTION_REMOVE,
605         FW_CTXT_ACTION_NUM
606 }; /* COMMON_CONTEXT_ACTION_API_E_VER_1 */
607
608 /* Time Events */
609
610 /* Time Event types, according to MAC type */
611 enum iwl_time_event_type {
612         /* BSS Station Events */
613         TE_BSS_STA_AGGRESSIVE_ASSOC,
614         TE_BSS_STA_ASSOC,
615         TE_BSS_EAP_DHCP_PROT,
616         TE_BSS_QUIET_PERIOD,
617
618         /* P2P Device Events */
619         TE_P2P_DEVICE_DISCOVERABLE,
620         TE_P2P_DEVICE_LISTEN,
621         TE_P2P_DEVICE_ACTION_SCAN,
622         TE_P2P_DEVICE_FULL_SCAN,
623
624         /* P2P Client Events */
625         TE_P2P_CLIENT_AGGRESSIVE_ASSOC,
626         TE_P2P_CLIENT_ASSOC,
627         TE_P2P_CLIENT_QUIET_PERIOD,
628
629         /* P2P GO Events */
630         TE_P2P_GO_ASSOC_PROT,
631         TE_P2P_GO_REPETITIVE_NOA,
632         TE_P2P_GO_CT_WINDOW,
633
634         /* WiDi Sync Events */
635         TE_WIDI_TX_SYNC,
636
637         /* Channel Switch NoA */
638         TE_CHANNEL_SWITCH_PERIOD,
639
640         TE_MAX
641 }; /* MAC_EVENT_TYPE_API_E_VER_1 */
642
643
644
645 /* Time event - defines for command API v1 */
646
647 /*
648  * @TE_V1_FRAG_NONE: fragmentation of the time event is NOT allowed.
649  * @TE_V1_FRAG_SINGLE: fragmentation of the time event is allowed, but only
650  *      the first fragment is scheduled.
651  * @TE_V1_FRAG_DUAL: fragmentation of the time event is allowed, but only
652  *      the first 2 fragments are scheduled.
653  * @TE_V1_FRAG_ENDLESS: fragmentation of the time event is allowed, and any
654  *      number of fragments are valid.
655  *
656  * Other than the constant defined above, specifying a fragmentation value 'x'
657  * means that the event can be fragmented but only the first 'x' will be
658  * scheduled.
659  */
660 enum {
661         TE_V1_FRAG_NONE = 0,
662         TE_V1_FRAG_SINGLE = 1,
663         TE_V1_FRAG_DUAL = 2,
664         TE_V1_FRAG_ENDLESS = 0xffffffff
665 };
666
667 /* If a Time Event can be fragmented, this is the max number of fragments */
668 #define TE_V1_FRAG_MAX_MSK      0x0fffffff
669 /* Repeat the time event endlessly (until removed) */
670 #define TE_V1_REPEAT_ENDLESS    0xffffffff
671 /* If a Time Event has bounded repetitions, this is the maximal value */
672 #define TE_V1_REPEAT_MAX_MSK_V1 0x0fffffff
673
674 /* Time Event dependencies: none, on another TE, or in a specific time */
675 enum {
676         TE_V1_INDEPENDENT               = 0,
677         TE_V1_DEP_OTHER                 = BIT(0),
678         TE_V1_DEP_TSF                   = BIT(1),
679         TE_V1_EVENT_SOCIOPATHIC         = BIT(2),
680 }; /* MAC_EVENT_DEPENDENCY_POLICY_API_E_VER_2 */
681
682 /*
683  * @TE_V1_NOTIF_NONE: no notifications
684  * @TE_V1_NOTIF_HOST_EVENT_START: request/receive notification on event start
685  * @TE_V1_NOTIF_HOST_EVENT_END:request/receive notification on event end
686  * @TE_V1_NOTIF_INTERNAL_EVENT_START: internal FW use
687  * @TE_V1_NOTIF_INTERNAL_EVENT_END: internal FW use.
688  * @TE_V1_NOTIF_HOST_FRAG_START: request/receive notification on frag start
689  * @TE_V1_NOTIF_HOST_FRAG_END:request/receive notification on frag end
690  * @TE_V1_NOTIF_INTERNAL_FRAG_START: internal FW use.
691  * @TE_V1_NOTIF_INTERNAL_FRAG_END: internal FW use.
692  *
693  * Supported Time event notifications configuration.
694  * A notification (both event and fragment) includes a status indicating weather
695  * the FW was able to schedule the event or not. For fragment start/end
696  * notification the status is always success. There is no start/end fragment
697  * notification for monolithic events.
698  */
699 enum {
700         TE_V1_NOTIF_NONE = 0,
701         TE_V1_NOTIF_HOST_EVENT_START = BIT(0),
702         TE_V1_NOTIF_HOST_EVENT_END = BIT(1),
703         TE_V1_NOTIF_INTERNAL_EVENT_START = BIT(2),
704         TE_V1_NOTIF_INTERNAL_EVENT_END = BIT(3),
705         TE_V1_NOTIF_HOST_FRAG_START = BIT(4),
706         TE_V1_NOTIF_HOST_FRAG_END = BIT(5),
707         TE_V1_NOTIF_INTERNAL_FRAG_START = BIT(6),
708         TE_V1_NOTIF_INTERNAL_FRAG_END = BIT(7),
709 }; /* MAC_EVENT_ACTION_API_E_VER_2 */
710
711 /* Time event - defines for command API */
712
713 /*
714  * @TE_V2_FRAG_NONE: fragmentation of the time event is NOT allowed.
715  * @TE_V2_FRAG_SINGLE: fragmentation of the time event is allowed, but only
716  *  the first fragment is scheduled.
717  * @TE_V2_FRAG_DUAL: fragmentation of the time event is allowed, but only
718  *  the first 2 fragments are scheduled.
719  * @TE_V2_FRAG_ENDLESS: fragmentation of the time event is allowed, and any
720  *  number of fragments are valid.
721  *
722  * Other than the constant defined above, specifying a fragmentation value 'x'
723  * means that the event can be fragmented but only the first 'x' will be
724  * scheduled.
725  */
726 enum {
727         TE_V2_FRAG_NONE = 0,
728         TE_V2_FRAG_SINGLE = 1,
729         TE_V2_FRAG_DUAL = 2,
730         TE_V2_FRAG_MAX = 0xfe,
731         TE_V2_FRAG_ENDLESS = 0xff
732 };
733
734 /* Repeat the time event endlessly (until removed) */
735 #define TE_V2_REPEAT_ENDLESS    0xff
736 /* If a Time Event has bounded repetitions, this is the maximal value */
737 #define TE_V2_REPEAT_MAX        0xfe
738
739 #define TE_V2_PLACEMENT_POS     12
740 #define TE_V2_ABSENCE_POS       15
741
742 /* Time event policy values
743  * A notification (both event and fragment) includes a status indicating weather
744  * the FW was able to schedule the event or not. For fragment start/end
745  * notification the status is always success. There is no start/end fragment
746  * notification for monolithic events.
747  *
748  * @TE_V2_DEFAULT_POLICY: independent, social, present, unoticable
749  * @TE_V2_NOTIF_HOST_EVENT_START: request/receive notification on event start
750  * @TE_V2_NOTIF_HOST_EVENT_END:request/receive notification on event end
751  * @TE_V2_NOTIF_INTERNAL_EVENT_START: internal FW use
752  * @TE_V2_NOTIF_INTERNAL_EVENT_END: internal FW use.
753  * @TE_V2_NOTIF_HOST_FRAG_START: request/receive notification on frag start
754  * @TE_V2_NOTIF_HOST_FRAG_END:request/receive notification on frag end
755  * @TE_V2_NOTIF_INTERNAL_FRAG_START: internal FW use.
756  * @TE_V2_NOTIF_INTERNAL_FRAG_END: internal FW use.
757  * @TE_V2_DEP_OTHER: depends on another time event
758  * @TE_V2_DEP_TSF: depends on a specific time
759  * @TE_V2_EVENT_SOCIOPATHIC: can't co-exist with other events of tha same MAC
760  * @TE_V2_ABSENCE: are we present or absent during the Time Event.
761  */
762 enum {
763         TE_V2_DEFAULT_POLICY = 0x0,
764
765         /* notifications (event start/stop, fragment start/stop) */
766         TE_V2_NOTIF_HOST_EVENT_START = BIT(0),
767         TE_V2_NOTIF_HOST_EVENT_END = BIT(1),
768         TE_V2_NOTIF_INTERNAL_EVENT_START = BIT(2),
769         TE_V2_NOTIF_INTERNAL_EVENT_END = BIT(3),
770
771         TE_V2_NOTIF_HOST_FRAG_START = BIT(4),
772         TE_V2_NOTIF_HOST_FRAG_END = BIT(5),
773         TE_V2_NOTIF_INTERNAL_FRAG_START = BIT(6),
774         TE_V2_NOTIF_INTERNAL_FRAG_END = BIT(7),
775         T2_V2_START_IMMEDIATELY = BIT(11),
776
777         TE_V2_NOTIF_MSK = 0xff,
778
779         /* placement characteristics */
780         TE_V2_DEP_OTHER = BIT(TE_V2_PLACEMENT_POS),
781         TE_V2_DEP_TSF = BIT(TE_V2_PLACEMENT_POS + 1),
782         TE_V2_EVENT_SOCIOPATHIC = BIT(TE_V2_PLACEMENT_POS + 2),
783
784         /* are we present or absent during the Time Event. */
785         TE_V2_ABSENCE = BIT(TE_V2_ABSENCE_POS),
786 };
787
788 /**
789  * struct iwl_time_event_cmd_api - configuring Time Events
790  * with struct MAC_TIME_EVENT_DATA_API_S_VER_2 (see also
791  * with version 1. determined by IWL_UCODE_TLV_FLAGS)
792  * ( TIME_EVENT_CMD = 0x29 )
793  * @id_and_color: ID and color of the relevant MAC
794  * @action: action to perform, one of FW_CTXT_ACTION_*
795  * @id: this field has two meanings, depending on the action:
796  *      If the action is ADD, then it means the type of event to add.
797  *      For all other actions it is the unique event ID assigned when the
798  *      event was added by the FW.
799  * @apply_time: When to start the Time Event (in GP2)
800  * @max_delay: maximum delay to event's start (apply time), in TU
801  * @depends_on: the unique ID of the event we depend on (if any)
802  * @interval: interval between repetitions, in TU
803  * @duration: duration of event in TU
804  * @repeat: how many repetitions to do, can be TE_REPEAT_ENDLESS
805  * @max_frags: maximal number of fragments the Time Event can be divided to
806  * @policy: defines whether uCode shall notify the host or other uCode modules
807  *      on event and/or fragment start and/or end
808  *      using one of TE_INDEPENDENT, TE_DEP_OTHER, TE_DEP_TSF
809  *      TE_EVENT_SOCIOPATHIC
810  *      using TE_ABSENCE and using TE_NOTIF_*
811  */
812 struct iwl_time_event_cmd {
813         /* COMMON_INDEX_HDR_API_S_VER_1 */
814         __le32 id_and_color;
815         __le32 action;
816         __le32 id;
817         /* MAC_TIME_EVENT_DATA_API_S_VER_2 */
818         __le32 apply_time;
819         __le32 max_delay;
820         __le32 depends_on;
821         __le32 interval;
822         __le32 duration;
823         u8 repeat;
824         u8 max_frags;
825         __le16 policy;
826 } __packed; /* MAC_TIME_EVENT_CMD_API_S_VER_2 */
827
828 /**
829  * struct iwl_time_event_resp - response structure to iwl_time_event_cmd
830  * @status: bit 0 indicates success, all others specify errors
831  * @id: the Time Event type
832  * @unique_id: the unique ID assigned (in ADD) or given (others) to the TE
833  * @id_and_color: ID and color of the relevant MAC
834  */
835 struct iwl_time_event_resp {
836         __le32 status;
837         __le32 id;
838         __le32 unique_id;
839         __le32 id_and_color;
840 } __packed; /* MAC_TIME_EVENT_RSP_API_S_VER_1 */
841
842 /**
843  * struct iwl_time_event_notif - notifications of time event start/stop
844  * ( TIME_EVENT_NOTIFICATION = 0x2a )
845  * @timestamp: action timestamp in GP2
846  * @session_id: session's unique id
847  * @unique_id: unique id of the Time Event itself
848  * @id_and_color: ID and color of the relevant MAC
849  * @action: one of TE_NOTIF_START or TE_NOTIF_END
850  * @status: true if scheduled, false otherwise (not executed)
851  */
852 struct iwl_time_event_notif {
853         __le32 timestamp;
854         __le32 session_id;
855         __le32 unique_id;
856         __le32 id_and_color;
857         __le32 action;
858         __le32 status;
859 } __packed; /* MAC_TIME_EVENT_NTFY_API_S_VER_1 */
860
861
862 /* Bindings and Time Quota */
863
864 /**
865  * struct iwl_binding_cmd - configuring bindings
866  * ( BINDING_CONTEXT_CMD = 0x2b )
867  * @id_and_color: ID and color of the relevant Binding
868  * @action: action to perform, one of FW_CTXT_ACTION_*
869  * @macs: array of MAC id and colors which belong to the binding
870  * @phy: PHY id and color which belongs to the binding
871  */
872 struct iwl_binding_cmd {
873         /* COMMON_INDEX_HDR_API_S_VER_1 */
874         __le32 id_and_color;
875         __le32 action;
876         /* BINDING_DATA_API_S_VER_1 */
877         __le32 macs[MAX_MACS_IN_BINDING];
878         __le32 phy;
879 } __packed; /* BINDING_CMD_API_S_VER_1 */
880
881 /* The maximal number of fragments in the FW's schedule session */
882 #define IWL_MVM_MAX_QUOTA 128
883
884 /**
885  * struct iwl_time_quota_data - configuration of time quota per binding
886  * @id_and_color: ID and color of the relevant Binding
887  * @quota: absolute time quota in TU. The scheduler will try to divide the
888  *      remainig quota (after Time Events) according to this quota.
889  * @max_duration: max uninterrupted context duration in TU
890  */
891 struct iwl_time_quota_data {
892         __le32 id_and_color;
893         __le32 quota;
894         __le32 max_duration;
895 } __packed; /* TIME_QUOTA_DATA_API_S_VER_1 */
896
897 /**
898  * struct iwl_time_quota_cmd - configuration of time quota between bindings
899  * ( TIME_QUOTA_CMD = 0x2c )
900  * @quotas: allocations per binding
901  */
902 struct iwl_time_quota_cmd {
903         struct iwl_time_quota_data quotas[MAX_BINDINGS];
904 } __packed; /* TIME_QUOTA_ALLOCATION_CMD_API_S_VER_1 */
905
906
907 /* PHY context */
908
909 /* Supported bands */
910 #define PHY_BAND_5  (0)
911 #define PHY_BAND_24 (1)
912
913 /* Supported channel width, vary if there is VHT support */
914 #define PHY_VHT_CHANNEL_MODE20  (0x0)
915 #define PHY_VHT_CHANNEL_MODE40  (0x1)
916 #define PHY_VHT_CHANNEL_MODE80  (0x2)
917 #define PHY_VHT_CHANNEL_MODE160 (0x3)
918
919 /*
920  * Control channel position:
921  * For legacy set bit means upper channel, otherwise lower.
922  * For VHT - bit-2 marks if the control is lower/upper relative to center-freq
923  *   bits-1:0 mark the distance from the center freq. for 20Mhz, offset is 0.
924  *                                   center_freq
925  *                                        |
926  * 40Mhz                          |_______|_______|
927  * 80Mhz                  |_______|_______|_______|_______|
928  * 160Mhz |_______|_______|_______|_______|_______|_______|_______|_______|
929  * code      011     010     001     000  |  100     101     110    111
930  */
931 #define PHY_VHT_CTRL_POS_1_BELOW  (0x0)
932 #define PHY_VHT_CTRL_POS_2_BELOW  (0x1)
933 #define PHY_VHT_CTRL_POS_3_BELOW  (0x2)
934 #define PHY_VHT_CTRL_POS_4_BELOW  (0x3)
935 #define PHY_VHT_CTRL_POS_1_ABOVE  (0x4)
936 #define PHY_VHT_CTRL_POS_2_ABOVE  (0x5)
937 #define PHY_VHT_CTRL_POS_3_ABOVE  (0x6)
938 #define PHY_VHT_CTRL_POS_4_ABOVE  (0x7)
939
940 /*
941  * @band: PHY_BAND_*
942  * @channel: channel number
943  * @width: PHY_[VHT|LEGACY]_CHANNEL_*
944  * @ctrl channel: PHY_[VHT|LEGACY]_CTRL_*
945  */
946 struct iwl_fw_channel_info {
947         u8 band;
948         u8 channel;
949         u8 width;
950         u8 ctrl_pos;
951 } __packed;
952
953 #define PHY_RX_CHAIN_DRIVER_FORCE_POS   (0)
954 #define PHY_RX_CHAIN_DRIVER_FORCE_MSK \
955         (0x1 << PHY_RX_CHAIN_DRIVER_FORCE_POS)
956 #define PHY_RX_CHAIN_VALID_POS          (1)
957 #define PHY_RX_CHAIN_VALID_MSK \
958         (0x7 << PHY_RX_CHAIN_VALID_POS)
959 #define PHY_RX_CHAIN_FORCE_SEL_POS      (4)
960 #define PHY_RX_CHAIN_FORCE_SEL_MSK \
961         (0x7 << PHY_RX_CHAIN_FORCE_SEL_POS)
962 #define PHY_RX_CHAIN_FORCE_MIMO_SEL_POS (7)
963 #define PHY_RX_CHAIN_FORCE_MIMO_SEL_MSK \
964         (0x7 << PHY_RX_CHAIN_FORCE_MIMO_SEL_POS)
965 #define PHY_RX_CHAIN_CNT_POS            (10)
966 #define PHY_RX_CHAIN_CNT_MSK \
967         (0x3 << PHY_RX_CHAIN_CNT_POS)
968 #define PHY_RX_CHAIN_MIMO_CNT_POS       (12)
969 #define PHY_RX_CHAIN_MIMO_CNT_MSK \
970         (0x3 << PHY_RX_CHAIN_MIMO_CNT_POS)
971 #define PHY_RX_CHAIN_MIMO_FORCE_POS     (14)
972 #define PHY_RX_CHAIN_MIMO_FORCE_MSK \
973         (0x1 << PHY_RX_CHAIN_MIMO_FORCE_POS)
974
975 /* TODO: fix the value, make it depend on firmware at runtime? */
976 #define NUM_PHY_CTX     3
977
978 /* TODO: complete missing documentation */
979 /**
980  * struct iwl_phy_context_cmd - config of the PHY context
981  * ( PHY_CONTEXT_CMD = 0x8 )
982  * @id_and_color: ID and color of the relevant Binding
983  * @action: action to perform, one of FW_CTXT_ACTION_*
984  * @apply_time: 0 means immediate apply and context switch.
985  *      other value means apply new params after X usecs
986  * @tx_param_color: ???
987  * @channel_info:
988  * @txchain_info: ???
989  * @rxchain_info: ???
990  * @acquisition_data: ???
991  * @dsp_cfg_flags: set to 0
992  */
993 struct iwl_phy_context_cmd {
994         /* COMMON_INDEX_HDR_API_S_VER_1 */
995         __le32 id_and_color;
996         __le32 action;
997         /* PHY_CONTEXT_DATA_API_S_VER_1 */
998         __le32 apply_time;
999         __le32 tx_param_color;
1000         struct iwl_fw_channel_info ci;
1001         __le32 txchain_info;
1002         __le32 rxchain_info;
1003         __le32 acquisition_data;
1004         __le32 dsp_cfg_flags;
1005 } __packed; /* PHY_CONTEXT_CMD_API_VER_1 */
1006
1007 /*
1008  * Aux ROC command
1009  *
1010  * Command requests the firmware to create a time event for a certain duration
1011  * and remain on the given channel. This is done by using the Aux framework in
1012  * the FW.
1013  * The command was first used for Hot Spot issues - but can be used regardless
1014  * to Hot Spot.
1015  *
1016  * ( HOT_SPOT_CMD 0x53 )
1017  *
1018  * @id_and_color: ID and color of the MAC
1019  * @action: action to perform, one of FW_CTXT_ACTION_*
1020  * @event_unique_id: If the action FW_CTXT_ACTION_REMOVE then the
1021  *      event_unique_id should be the id of the time event assigned by ucode.
1022  *      Otherwise ignore the event_unique_id.
1023  * @sta_id_and_color: station id and color, resumed during "Remain On Channel"
1024  *      activity.
1025  * @channel_info: channel info
1026  * @node_addr: Our MAC Address
1027  * @reserved: reserved for alignment
1028  * @apply_time: GP2 value to start (should always be the current GP2 value)
1029  * @apply_time_max_delay: Maximum apply time delay value in TU. Defines max
1030  *      time by which start of the event is allowed to be postponed.
1031  * @duration: event duration in TU To calculate event duration:
1032  *      timeEventDuration = min(duration, remainingQuota)
1033  */
1034 struct iwl_hs20_roc_req {
1035         /* COMMON_INDEX_HDR_API_S_VER_1 hdr */
1036         __le32 id_and_color;
1037         __le32 action;
1038         __le32 event_unique_id;
1039         __le32 sta_id_and_color;
1040         struct iwl_fw_channel_info channel_info;
1041         u8 node_addr[ETH_ALEN];
1042         __le16 reserved;
1043         __le32 apply_time;
1044         __le32 apply_time_max_delay;
1045         __le32 duration;
1046 } __packed; /* HOT_SPOT_CMD_API_S_VER_1 */
1047
1048 /*
1049  * values for AUX ROC result values
1050  */
1051 enum iwl_mvm_hot_spot {
1052         HOT_SPOT_RSP_STATUS_OK,
1053         HOT_SPOT_RSP_STATUS_TOO_MANY_EVENTS,
1054         HOT_SPOT_MAX_NUM_OF_SESSIONS,
1055 };
1056
1057 /*
1058  * Aux ROC command response
1059  *
1060  * In response to iwl_hs20_roc_req the FW sends this command to notify the
1061  * driver the uid of the timevent.
1062  *
1063  * ( HOT_SPOT_CMD 0x53 )
1064  *
1065  * @event_unique_id: Unique ID of time event assigned by ucode
1066  * @status: Return status 0 is success, all the rest used for specific errors
1067  */
1068 struct iwl_hs20_roc_res {
1069         __le32 event_unique_id;
1070         __le32 status;
1071 } __packed; /* HOT_SPOT_RSP_API_S_VER_1 */
1072
1073 #define IWL_RX_INFO_PHY_CNT 8
1074 #define IWL_RX_INFO_ENERGY_ANT_ABC_IDX 1
1075 #define IWL_RX_INFO_ENERGY_ANT_A_MSK 0x000000ff
1076 #define IWL_RX_INFO_ENERGY_ANT_B_MSK 0x0000ff00
1077 #define IWL_RX_INFO_ENERGY_ANT_C_MSK 0x00ff0000
1078 #define IWL_RX_INFO_ENERGY_ANT_A_POS 0
1079 #define IWL_RX_INFO_ENERGY_ANT_B_POS 8
1080 #define IWL_RX_INFO_ENERGY_ANT_C_POS 16
1081
1082 #define IWL_RX_INFO_AGC_IDX 1
1083 #define IWL_RX_INFO_RSSI_AB_IDX 2
1084 #define IWL_OFDM_AGC_A_MSK 0x0000007f
1085 #define IWL_OFDM_AGC_A_POS 0
1086 #define IWL_OFDM_AGC_B_MSK 0x00003f80
1087 #define IWL_OFDM_AGC_B_POS 7
1088 #define IWL_OFDM_AGC_CODE_MSK 0x3fe00000
1089 #define IWL_OFDM_AGC_CODE_POS 20
1090 #define IWL_OFDM_RSSI_INBAND_A_MSK 0x00ff
1091 #define IWL_OFDM_RSSI_A_POS 0
1092 #define IWL_OFDM_RSSI_ALLBAND_A_MSK 0xff00
1093 #define IWL_OFDM_RSSI_ALLBAND_A_POS 8
1094 #define IWL_OFDM_RSSI_INBAND_B_MSK 0xff0000
1095 #define IWL_OFDM_RSSI_B_POS 16
1096 #define IWL_OFDM_RSSI_ALLBAND_B_MSK 0xff000000
1097 #define IWL_OFDM_RSSI_ALLBAND_B_POS 24
1098
1099 /**
1100  * struct iwl_rx_phy_info - phy info
1101  * (REPLY_RX_PHY_CMD = 0xc0)
1102  * @non_cfg_phy_cnt: non configurable DSP phy data byte count
1103  * @cfg_phy_cnt: configurable DSP phy data byte count
1104  * @stat_id: configurable DSP phy data set ID
1105  * @reserved1:
1106  * @system_timestamp: GP2  at on air rise
1107  * @timestamp: TSF at on air rise
1108  * @beacon_time_stamp: beacon at on-air rise
1109  * @phy_flags: general phy flags: band, modulation, ...
1110  * @channel: channel number
1111  * @non_cfg_phy_buf: for various implementations of non_cfg_phy
1112  * @rate_n_flags: RATE_MCS_*
1113  * @byte_count: frame's byte-count
1114  * @frame_time: frame's time on the air, based on byte count and frame rate
1115  *      calculation
1116  * @mac_active_msk: what MACs were active when the frame was received
1117  *
1118  * Before each Rx, the device sends this data. It contains PHY information
1119  * about the reception of the packet.
1120  */
1121 struct iwl_rx_phy_info {
1122         u8 non_cfg_phy_cnt;
1123         u8 cfg_phy_cnt;
1124         u8 stat_id;
1125         u8 reserved1;
1126         __le32 system_timestamp;
1127         __le64 timestamp;
1128         __le32 beacon_time_stamp;
1129         __le16 phy_flags;
1130         __le16 channel;
1131         __le32 non_cfg_phy[IWL_RX_INFO_PHY_CNT];
1132         __le32 rate_n_flags;
1133         __le32 byte_count;
1134         __le16 mac_active_msk;
1135         __le16 frame_time;
1136 } __packed;
1137
1138 /*
1139  * TCP offload Rx assist info
1140  *
1141  * bits 0:3 - reserved
1142  * bits 4:7 - MIC CRC length
1143  * bits 8:12 - MAC header length
1144  * bit 13 - Padding indication
1145  * bit 14 - A-AMSDU indication
1146  * bit 15 - Offload enabled
1147  */
1148 enum iwl_csum_rx_assist_info {
1149         CSUM_RXA_RESERVED_MASK  = 0x000f,
1150         CSUM_RXA_MICSIZE_MASK   = 0x00f0,
1151         CSUM_RXA_HEADERLEN_MASK = 0x1f00,
1152         CSUM_RXA_PADD           = BIT(13),
1153         CSUM_RXA_AMSDU          = BIT(14),
1154         CSUM_RXA_ENA            = BIT(15)
1155 };
1156
1157 /**
1158  * struct iwl_rx_mpdu_res_start - phy info
1159  * @assist: see CSUM_RX_ASSIST_ above
1160  */
1161 struct iwl_rx_mpdu_res_start {
1162         __le16 byte_count;
1163         __le16 assist;
1164 } __packed; /* _RX_MPDU_RES_START_API_S_VER_2 */
1165
1166 /**
1167  * enum iwl_rx_phy_flags - to parse %iwl_rx_phy_info phy_flags
1168  * @RX_RES_PHY_FLAGS_BAND_24: true if the packet was received on 2.4 band
1169  * @RX_RES_PHY_FLAGS_MOD_CCK:
1170  * @RX_RES_PHY_FLAGS_SHORT_PREAMBLE: true if packet's preamble was short
1171  * @RX_RES_PHY_FLAGS_NARROW_BAND:
1172  * @RX_RES_PHY_FLAGS_ANTENNA: antenna on which the packet was received
1173  * @RX_RES_PHY_FLAGS_AGG: set if the packet was part of an A-MPDU
1174  * @RX_RES_PHY_FLAGS_OFDM_HT: The frame was an HT frame
1175  * @RX_RES_PHY_FLAGS_OFDM_GF: The frame used GF preamble
1176  * @RX_RES_PHY_FLAGS_OFDM_VHT: The frame was a VHT frame
1177  */
1178 enum iwl_rx_phy_flags {
1179         RX_RES_PHY_FLAGS_BAND_24        = BIT(0),
1180         RX_RES_PHY_FLAGS_MOD_CCK        = BIT(1),
1181         RX_RES_PHY_FLAGS_SHORT_PREAMBLE = BIT(2),
1182         RX_RES_PHY_FLAGS_NARROW_BAND    = BIT(3),
1183         RX_RES_PHY_FLAGS_ANTENNA        = (0x7 << 4),
1184         RX_RES_PHY_FLAGS_ANTENNA_POS    = 4,
1185         RX_RES_PHY_FLAGS_AGG            = BIT(7),
1186         RX_RES_PHY_FLAGS_OFDM_HT        = BIT(8),
1187         RX_RES_PHY_FLAGS_OFDM_GF        = BIT(9),
1188         RX_RES_PHY_FLAGS_OFDM_VHT       = BIT(10),
1189 };
1190
1191 /**
1192  * enum iwl_mvm_rx_status - written by fw for each Rx packet
1193  * @RX_MPDU_RES_STATUS_CRC_OK: CRC is fine
1194  * @RX_MPDU_RES_STATUS_OVERRUN_OK: there was no RXE overflow
1195  * @RX_MPDU_RES_STATUS_SRC_STA_FOUND:
1196  * @RX_MPDU_RES_STATUS_KEY_VALID:
1197  * @RX_MPDU_RES_STATUS_KEY_PARAM_OK:
1198  * @RX_MPDU_RES_STATUS_ICV_OK: ICV is fine, if not, the packet is destroyed
1199  * @RX_MPDU_RES_STATUS_MIC_OK: used for CCM alg only. TKIP MIC is checked
1200  *      in the driver.
1201  * @RX_MPDU_RES_STATUS_TTAK_OK: TTAK is fine
1202  * @RX_MPDU_RES_STATUS_MNG_FRAME_REPLAY_ERR:  valid for alg = CCM_CMAC or
1203  *      alg = CCM only. Checks replay attack for 11w frames. Relevant only if
1204  *      %RX_MPDU_RES_STATUS_ROBUST_MNG_FRAME is set.
1205  * @RX_MPDU_RES_STATUS_SEC_NO_ENC: this frame is not encrypted
1206  * @RX_MPDU_RES_STATUS_SEC_WEP_ENC: this frame is encrypted using WEP
1207  * @RX_MPDU_RES_STATUS_SEC_CCM_ENC: this frame is encrypted using CCM
1208  * @RX_MPDU_RES_STATUS_SEC_TKIP_ENC: this frame is encrypted using TKIP
1209  * @RX_MPDU_RES_STATUS_SEC_CCM_CMAC_ENC: this frame is encrypted using CCM_CMAC
1210  * @RX_MPDU_RES_STATUS_SEC_ENC_ERR: this frame couldn't be decrypted
1211  * @RX_MPDU_RES_STATUS_SEC_ENC_MSK: bitmask of the encryption algorithm
1212  * @RX_MPDU_RES_STATUS_DEC_DONE: this frame has been successfully decrypted
1213  * @RX_MPDU_RES_STATUS_PROTECT_FRAME_BIT_CMP:
1214  * @RX_MPDU_RES_STATUS_EXT_IV_BIT_CMP:
1215  * @RX_MPDU_RES_STATUS_KEY_ID_CMP_BIT:
1216  * @RX_MPDU_RES_STATUS_ROBUST_MNG_FRAME: this frame is an 11w management frame
1217  * @RX_MPDU_RES_STATUS_CSUM_DONE: checksum was done by the hw
1218  * @RX_MPDU_RES_STATUS_CSUM_OK: checksum found no errors
1219  * @RX_MPDU_RES_STATUS_HASH_INDEX_MSK:
1220  * @RX_MPDU_RES_STATUS_STA_ID_MSK:
1221  * @RX_MPDU_RES_STATUS_RRF_KILL:
1222  * @RX_MPDU_RES_STATUS_FILTERING_MSK:
1223  * @RX_MPDU_RES_STATUS2_FILTERING_MSK:
1224  */
1225 enum iwl_mvm_rx_status {
1226         RX_MPDU_RES_STATUS_CRC_OK                       = BIT(0),
1227         RX_MPDU_RES_STATUS_OVERRUN_OK                   = BIT(1),
1228         RX_MPDU_RES_STATUS_SRC_STA_FOUND                = BIT(2),
1229         RX_MPDU_RES_STATUS_KEY_VALID                    = BIT(3),
1230         RX_MPDU_RES_STATUS_KEY_PARAM_OK                 = BIT(4),
1231         RX_MPDU_RES_STATUS_ICV_OK                       = BIT(5),
1232         RX_MPDU_RES_STATUS_MIC_OK                       = BIT(6),
1233         RX_MPDU_RES_STATUS_TTAK_OK                      = BIT(7),
1234         RX_MPDU_RES_STATUS_MNG_FRAME_REPLAY_ERR         = BIT(7),
1235         RX_MPDU_RES_STATUS_SEC_NO_ENC                   = (0 << 8),
1236         RX_MPDU_RES_STATUS_SEC_WEP_ENC                  = (1 << 8),
1237         RX_MPDU_RES_STATUS_SEC_CCM_ENC                  = (2 << 8),
1238         RX_MPDU_RES_STATUS_SEC_TKIP_ENC                 = (3 << 8),
1239         RX_MPDU_RES_STATUS_SEC_EXT_ENC                  = (4 << 8),
1240         RX_MPDU_RES_STATUS_SEC_CCM_CMAC_ENC             = (6 << 8),
1241         RX_MPDU_RES_STATUS_SEC_ENC_ERR                  = (7 << 8),
1242         RX_MPDU_RES_STATUS_SEC_ENC_MSK                  = (7 << 8),
1243         RX_MPDU_RES_STATUS_DEC_DONE                     = BIT(11),
1244         RX_MPDU_RES_STATUS_PROTECT_FRAME_BIT_CMP        = BIT(12),
1245         RX_MPDU_RES_STATUS_EXT_IV_BIT_CMP               = BIT(13),
1246         RX_MPDU_RES_STATUS_KEY_ID_CMP_BIT               = BIT(14),
1247         RX_MPDU_RES_STATUS_ROBUST_MNG_FRAME             = BIT(15),
1248         RX_MPDU_RES_STATUS_CSUM_DONE                    = BIT(16),
1249         RX_MPDU_RES_STATUS_CSUM_OK                      = BIT(17),
1250         RX_MPDU_RES_STATUS_HASH_INDEX_MSK               = (0x3F0000),
1251         RX_MPDU_RES_STATUS_STA_ID_MSK                   = (0x1f000000),
1252         RX_MPDU_RES_STATUS_RRF_KILL                     = BIT(29),
1253         RX_MPDU_RES_STATUS_FILTERING_MSK                = (0xc00000),
1254         RX_MPDU_RES_STATUS2_FILTERING_MSK               = (0xc0000000),
1255 };
1256
1257 /**
1258  * struct iwl_radio_version_notif - information on the radio version
1259  * ( RADIO_VERSION_NOTIFICATION = 0x68 )
1260  * @radio_flavor:
1261  * @radio_step:
1262  * @radio_dash:
1263  */
1264 struct iwl_radio_version_notif {
1265         __le32 radio_flavor;
1266         __le32 radio_step;
1267         __le32 radio_dash;
1268 } __packed; /* RADIO_VERSION_NOTOFICATION_S_VER_1 */
1269
1270 enum iwl_card_state_flags {
1271         CARD_ENABLED            = 0x00,
1272         HW_CARD_DISABLED        = 0x01,
1273         SW_CARD_DISABLED        = 0x02,
1274         CT_KILL_CARD_DISABLED   = 0x04,
1275         HALT_CARD_DISABLED      = 0x08,
1276         CARD_DISABLED_MSK       = 0x0f,
1277         CARD_IS_RX_ON           = 0x10,
1278 };
1279
1280 /**
1281  * struct iwl_radio_version_notif - information on the radio version
1282  * ( CARD_STATE_NOTIFICATION = 0xa1 )
1283  * @flags: %iwl_card_state_flags
1284  */
1285 struct iwl_card_state_notif {
1286         __le32 flags;
1287 } __packed; /* CARD_STATE_NTFY_API_S_VER_1 */
1288
1289 /**
1290  * struct iwl_missed_beacons_notif - information on missed beacons
1291  * ( MISSED_BEACONS_NOTIFICATION = 0xa2 )
1292  * @mac_id: interface ID
1293  * @consec_missed_beacons_since_last_rx: number of consecutive missed
1294  *      beacons since last RX.
1295  * @consec_missed_beacons: number of consecutive missed beacons
1296  * @num_expected_beacons:
1297  * @num_recvd_beacons:
1298  */
1299 struct iwl_missed_beacons_notif {
1300         __le32 mac_id;
1301         __le32 consec_missed_beacons_since_last_rx;
1302         __le32 consec_missed_beacons;
1303         __le32 num_expected_beacons;
1304         __le32 num_recvd_beacons;
1305 } __packed; /* MISSED_BEACON_NTFY_API_S_VER_3 */
1306
1307 /**
1308  * struct iwl_mfuart_load_notif - mfuart image version & status
1309  * ( MFUART_LOAD_NOTIFICATION = 0xb1 )
1310  * @installed_ver: installed image version
1311  * @external_ver: external image version
1312  * @status: MFUART loading status
1313  * @duration: MFUART loading time
1314 */
1315 struct iwl_mfuart_load_notif {
1316         __le32 installed_ver;
1317         __le32 external_ver;
1318         __le32 status;
1319         __le32 duration;
1320 } __packed; /*MFU_LOADER_NTFY_API_S_VER_1*/
1321
1322 /**
1323  * struct iwl_set_calib_default_cmd - set default value for calibration.
1324  * ( SET_CALIB_DEFAULT_CMD = 0x8e )
1325  * @calib_index: the calibration to set value for
1326  * @length: of data
1327  * @data: the value to set for the calibration result
1328  */
1329 struct iwl_set_calib_default_cmd {
1330         __le16 calib_index;
1331         __le16 length;
1332         u8 data[0];
1333 } __packed; /* PHY_CALIB_OVERRIDE_VALUES_S */
1334
1335 #define MAX_PORT_ID_NUM 2
1336 #define MAX_MCAST_FILTERING_ADDRESSES 256
1337
1338 /**
1339  * struct iwl_mcast_filter_cmd - configure multicast filter.
1340  * @filter_own: Set 1 to filter out multicast packets sent by station itself
1341  * @port_id:    Multicast MAC addresses array specifier. This is a strange way
1342  *              to identify network interface adopted in host-device IF.
1343  *              It is used by FW as index in array of addresses. This array has
1344  *              MAX_PORT_ID_NUM members.
1345  * @count:      Number of MAC addresses in the array
1346  * @pass_all:   Set 1 to pass all multicast packets.
1347  * @bssid:      current association BSSID.
1348  * @addr_list:  Place holder for array of MAC addresses.
1349  *              IMPORTANT: add padding if necessary to ensure DWORD alignment.
1350  */
1351 struct iwl_mcast_filter_cmd {
1352         u8 filter_own;
1353         u8 port_id;
1354         u8 count;
1355         u8 pass_all;
1356         u8 bssid[6];
1357         u8 reserved[2];
1358         u8 addr_list[0];
1359 } __packed; /* MCAST_FILTERING_CMD_API_S_VER_1 */
1360
1361 #define MAX_BCAST_FILTERS 8
1362 #define MAX_BCAST_FILTER_ATTRS 2
1363
1364 /**
1365  * enum iwl_mvm_bcast_filter_attr_offset - written by fw for each Rx packet
1366  * @BCAST_FILTER_OFFSET_PAYLOAD_START: offset is from payload start.
1367  * @BCAST_FILTER_OFFSET_IP_END: offset is from ip header end (i.e.
1368  *      start of ip payload).
1369  */
1370 enum iwl_mvm_bcast_filter_attr_offset {
1371         BCAST_FILTER_OFFSET_PAYLOAD_START = 0,
1372         BCAST_FILTER_OFFSET_IP_END = 1,
1373 };
1374
1375 /**
1376  * struct iwl_fw_bcast_filter_attr - broadcast filter attribute
1377  * @offset_type:        &enum iwl_mvm_bcast_filter_attr_offset.
1378  * @offset:     starting offset of this pattern.
1379  * @val:                value to match - big endian (MSB is the first
1380  *              byte to match from offset pos).
1381  * @mask:       mask to match (big endian).
1382  */
1383 struct iwl_fw_bcast_filter_attr {
1384         u8 offset_type;
1385         u8 offset;
1386         __le16 reserved1;
1387         __be32 val;
1388         __be32 mask;
1389 } __packed; /* BCAST_FILTER_ATT_S_VER_1 */
1390
1391 /**
1392  * enum iwl_mvm_bcast_filter_frame_type - filter frame type
1393  * @BCAST_FILTER_FRAME_TYPE_ALL: consider all frames.
1394  * @BCAST_FILTER_FRAME_TYPE_IPV4: consider only ipv4 frames
1395  */
1396 enum iwl_mvm_bcast_filter_frame_type {
1397         BCAST_FILTER_FRAME_TYPE_ALL = 0,
1398         BCAST_FILTER_FRAME_TYPE_IPV4 = 1,
1399 };
1400
1401 /**
1402  * struct iwl_fw_bcast_filter - broadcast filter
1403  * @discard: discard frame (1) or let it pass (0).
1404  * @frame_type: &enum iwl_mvm_bcast_filter_frame_type.
1405  * @num_attrs: number of valid attributes in this filter.
1406  * @attrs: attributes of this filter. a filter is considered matched
1407  *      only when all its attributes are matched (i.e. AND relationship)
1408  */
1409 struct iwl_fw_bcast_filter {
1410         u8 discard;
1411         u8 frame_type;
1412         u8 num_attrs;
1413         u8 reserved1;
1414         struct iwl_fw_bcast_filter_attr attrs[MAX_BCAST_FILTER_ATTRS];
1415 } __packed; /* BCAST_FILTER_S_VER_1 */
1416
1417 /**
1418  * struct iwl_fw_bcast_mac - per-mac broadcast filtering configuration.
1419  * @default_discard: default action for this mac (discard (1) / pass (0)).
1420  * @attached_filters: bitmap of relevant filters for this mac.
1421  */
1422 struct iwl_fw_bcast_mac {
1423         u8 default_discard;
1424         u8 reserved1;
1425         __le16 attached_filters;
1426 } __packed; /* BCAST_MAC_CONTEXT_S_VER_1 */
1427
1428 /**
1429  * struct iwl_bcast_filter_cmd - broadcast filtering configuration
1430  * @disable: enable (0) / disable (1)
1431  * @max_bcast_filters: max number of filters (MAX_BCAST_FILTERS)
1432  * @max_macs: max number of macs (NUM_MAC_INDEX_DRIVER)
1433  * @filters: broadcast filters
1434  * @macs: broadcast filtering configuration per-mac
1435  */
1436 struct iwl_bcast_filter_cmd {
1437         u8 disable;
1438         u8 max_bcast_filters;
1439         u8 max_macs;
1440         u8 reserved1;
1441         struct iwl_fw_bcast_filter filters[MAX_BCAST_FILTERS];
1442         struct iwl_fw_bcast_mac macs[NUM_MAC_INDEX_DRIVER];
1443 } __packed; /* BCAST_FILTERING_HCMD_API_S_VER_1 */
1444
1445 /*
1446  * enum iwl_mvm_marker_id - maker ids
1447  *
1448  * The ids for different type of markers to insert into the usniffer logs
1449  */
1450 enum iwl_mvm_marker_id {
1451         MARKER_ID_TX_FRAME_LATENCY = 1,
1452 }; /* MARKER_ID_API_E_VER_1 */
1453
1454 /**
1455  * struct iwl_mvm_marker - mark info into the usniffer logs
1456  *
1457  * (MARKER_CMD = 0xcb)
1458  *
1459  * Mark the UTC time stamp into the usniffer logs together with additional
1460  * metadata, so the usniffer output can be parsed.
1461  * In the command response the ucode will return the GP2 time.
1462  *
1463  * @dw_len: The amount of dwords following this byte including this byte.
1464  * @marker_id: A unique marker id (iwl_mvm_marker_id).
1465  * @reserved: reserved.
1466  * @timestamp: in milliseconds since 1970-01-01 00:00:00 UTC
1467  * @metadata: additional meta data that will be written to the unsiffer log
1468  */
1469 struct iwl_mvm_marker {
1470         u8 dwLen;
1471         u8 markerId;
1472         __le16 reserved;
1473         __le64 timestamp;
1474         __le32 metadata[0];
1475 } __packed; /* MARKER_API_S_VER_1 */
1476
1477 /*
1478  * enum iwl_dc2dc_config_id - flag ids
1479  *
1480  * Ids of dc2dc configuration flags
1481  */
1482 enum iwl_dc2dc_config_id {
1483         DCDC_LOW_POWER_MODE_MSK_SET  = 0x1, /* not used */
1484         DCDC_FREQ_TUNE_SET = 0x2,
1485 }; /* MARKER_ID_API_E_VER_1 */
1486
1487 /**
1488  * struct iwl_dc2dc_config_cmd - configure dc2dc values
1489  *
1490  * (DC2DC_CONFIG_CMD = 0x83)
1491  *
1492  * Set/Get & configure dc2dc values.
1493  * The command always returns the current dc2dc values.
1494  *
1495  * @flags: set/get dc2dc
1496  * @enable_low_power_mode: not used.
1497  * @dc2dc_freq_tune0: frequency divider - digital domain
1498  * @dc2dc_freq_tune1: frequency divider - analog domain
1499  */
1500 struct iwl_dc2dc_config_cmd {
1501         __le32 flags;
1502         __le32 enable_low_power_mode; /* not used */
1503         __le32 dc2dc_freq_tune0;
1504         __le32 dc2dc_freq_tune1;
1505 } __packed; /* DC2DC_CONFIG_CMD_API_S_VER_1 */
1506
1507 /**
1508  * struct iwl_dc2dc_config_resp - response for iwl_dc2dc_config_cmd
1509  *
1510  * Current dc2dc values returned by the FW.
1511  *
1512  * @dc2dc_freq_tune0: frequency divider - digital domain
1513  * @dc2dc_freq_tune1: frequency divider - analog domain
1514  */
1515 struct iwl_dc2dc_config_resp {
1516         __le32 dc2dc_freq_tune0;
1517         __le32 dc2dc_freq_tune1;
1518 } __packed; /* DC2DC_CONFIG_RESP_API_S_VER_1 */
1519
1520 /***********************************
1521  * Smart Fifo API
1522  ***********************************/
1523 /* Smart Fifo state */
1524 enum iwl_sf_state {
1525         SF_LONG_DELAY_ON = 0, /* should never be called by driver */
1526         SF_FULL_ON,
1527         SF_UNINIT,
1528         SF_INIT_OFF,
1529         SF_HW_NUM_STATES
1530 };
1531
1532 /* Smart Fifo possible scenario */
1533 enum iwl_sf_scenario {
1534         SF_SCENARIO_SINGLE_UNICAST,
1535         SF_SCENARIO_AGG_UNICAST,
1536         SF_SCENARIO_MULTICAST,
1537         SF_SCENARIO_BA_RESP,
1538         SF_SCENARIO_TX_RESP,
1539         SF_NUM_SCENARIO
1540 };
1541
1542 #define SF_TRANSIENT_STATES_NUMBER 2    /* SF_LONG_DELAY_ON and SF_FULL_ON */
1543 #define SF_NUM_TIMEOUT_TYPES 2          /* Aging timer and Idle timer */
1544
1545 /* smart FIFO default values */
1546 #define SF_W_MARK_SISO 6144
1547 #define SF_W_MARK_MIMO2 8192
1548 #define SF_W_MARK_MIMO3 6144
1549 #define SF_W_MARK_LEGACY 4096
1550 #define SF_W_MARK_SCAN 4096
1551
1552 /* SF Scenarios timers for default configuration (aligned to 32 uSec) */
1553 #define SF_SINGLE_UNICAST_IDLE_TIMER_DEF 160    /* 150 uSec  */
1554 #define SF_SINGLE_UNICAST_AGING_TIMER_DEF 400   /* 0.4 mSec */
1555 #define SF_AGG_UNICAST_IDLE_TIMER_DEF 160               /* 150 uSec */
1556 #define SF_AGG_UNICAST_AGING_TIMER_DEF 400              /* 0.4 mSec */
1557 #define SF_MCAST_IDLE_TIMER_DEF 160             /* 150 mSec */
1558 #define SF_MCAST_AGING_TIMER_DEF 400            /* 0.4 mSec */
1559 #define SF_BA_IDLE_TIMER_DEF 160                        /* 150 uSec */
1560 #define SF_BA_AGING_TIMER_DEF 400                       /* 0.4 mSec */
1561 #define SF_TX_RE_IDLE_TIMER_DEF 160                     /* 150 uSec */
1562 #define SF_TX_RE_AGING_TIMER_DEF 400            /* 0.4 mSec */
1563
1564 /* SF Scenarios timers for BSS MAC configuration (aligned to 32 uSec) */
1565 #define SF_SINGLE_UNICAST_IDLE_TIMER 320        /* 300 uSec  */
1566 #define SF_SINGLE_UNICAST_AGING_TIMER 2016      /* 2 mSec */
1567 #define SF_AGG_UNICAST_IDLE_TIMER 320           /* 300 uSec */
1568 #define SF_AGG_UNICAST_AGING_TIMER 2016         /* 2 mSec */
1569 #define SF_MCAST_IDLE_TIMER 2016                /* 2 mSec */
1570 #define SF_MCAST_AGING_TIMER 10016              /* 10 mSec */
1571 #define SF_BA_IDLE_TIMER 320                    /* 300 uSec */
1572 #define SF_BA_AGING_TIMER 2016                  /* 2 mSec */
1573 #define SF_TX_RE_IDLE_TIMER 320                 /* 300 uSec */
1574 #define SF_TX_RE_AGING_TIMER 2016               /* 2 mSec */
1575
1576 #define SF_LONG_DELAY_AGING_TIMER 1000000       /* 1 Sec */
1577
1578 #define SF_CFG_DUMMY_NOTIF_OFF  BIT(16)
1579
1580 /**
1581  * Smart Fifo configuration command.
1582  * @state: smart fifo state, types listed in enum %iwl_sf_sate.
1583  * @watermark: Minimum allowed availabe free space in RXF for transient state.
1584  * @long_delay_timeouts: aging and idle timer values for each scenario
1585  * in long delay state.
1586  * @full_on_timeouts: timer values for each scenario in full on state.
1587  */
1588 struct iwl_sf_cfg_cmd {
1589         __le32 state;
1590         __le32 watermark[SF_TRANSIENT_STATES_NUMBER];
1591         __le32 long_delay_timeouts[SF_NUM_SCENARIO][SF_NUM_TIMEOUT_TYPES];
1592         __le32 full_on_timeouts[SF_NUM_SCENARIO][SF_NUM_TIMEOUT_TYPES];
1593 } __packed; /* SF_CFG_API_S_VER_2 */
1594
1595 /***********************************
1596  * Location Aware Regulatory (LAR) API - MCC updates
1597  ***********************************/
1598
1599 /**
1600  * struct iwl_mcc_update_cmd - Request the device to update geographic
1601  * regulatory profile according to the given MCC (Mobile Country Code).
1602  * The MCC is two letter-code, ascii upper case[A-Z] or '00' for world domain.
1603  * 'ZZ' MCC will be used to switch to NVM default profile; in this case, the
1604  * MCC in the cmd response will be the relevant MCC in the NVM.
1605  * @mcc: given mobile country code
1606  * @source_id: the source from where we got the MCC, see iwl_mcc_source
1607  * @reserved: reserved for alignment
1608  */
1609 struct iwl_mcc_update_cmd {
1610         __le16 mcc;
1611         u8 source_id;
1612         u8 reserved;
1613 } __packed; /* LAR_UPDATE_MCC_CMD_API_S */
1614
1615 /**
1616  * iwl_mcc_update_resp - response to MCC_UPDATE_CMD.
1617  * Contains the new channel control profile map, if changed, and the new MCC
1618  * (mobile country code).
1619  * The new MCC may be different than what was requested in MCC_UPDATE_CMD.
1620  * @status: see &enum iwl_mcc_update_status
1621  * @mcc: the new applied MCC
1622  * @cap: capabilities for all channels which matches the MCC
1623  * @source_id: the MCC source, see iwl_mcc_source
1624  * @n_channels: number of channels in @channels_data (may be 14, 39, 50 or 51
1625  *              channels, depending on platform)
1626  * @channels: channel control data map, DWORD for each channel. Only the first
1627  *      16bits are used.
1628  */
1629 struct iwl_mcc_update_resp {
1630         __le32 status;
1631         __le16 mcc;
1632         u8 cap;
1633         u8 source_id;
1634         __le32 n_channels;
1635         __le32 channels[0];
1636 } __packed; /* LAR_UPDATE_MCC_CMD_RESP_S */
1637
1638 /**
1639  * struct iwl_mcc_chub_notif - chub notifies of mcc change
1640  * (MCC_CHUB_UPDATE_CMD = 0xc9)
1641  * The Chub (Communication Hub, CommsHUB) is a HW component that connects to
1642  * the cellular and connectivity cores that gets updates of the mcc, and
1643  * notifies the ucode directly of any mcc change.
1644  * The ucode requests the driver to request the device to update geographic
1645  * regulatory  profile according to the given MCC (Mobile Country Code).
1646  * The MCC is two letter-code, ascii upper case[A-Z] or '00' for world domain.
1647  * 'ZZ' MCC will be used to switch to NVM default profile; in this case, the
1648  * MCC in the cmd response will be the relevant MCC in the NVM.
1649  * @mcc: given mobile country code
1650  * @source_id: identity of the change originator, see iwl_mcc_source
1651  * @reserved1: reserved for alignment
1652  */
1653 struct iwl_mcc_chub_notif {
1654         u16 mcc;
1655         u8 source_id;
1656         u8 reserved1;
1657 } __packed; /* LAR_MCC_NOTIFY_S */
1658
1659 enum iwl_mcc_update_status {
1660         MCC_RESP_NEW_CHAN_PROFILE,
1661         MCC_RESP_SAME_CHAN_PROFILE,
1662         MCC_RESP_INVALID,
1663         MCC_RESP_NVM_DISABLED,
1664         MCC_RESP_ILLEGAL,
1665         MCC_RESP_LOW_PRIORITY,
1666 };
1667
1668 enum iwl_mcc_source {
1669         MCC_SOURCE_OLD_FW = 0,
1670         MCC_SOURCE_ME = 1,
1671         MCC_SOURCE_BIOS = 2,
1672         MCC_SOURCE_3G_LTE_HOST = 3,
1673         MCC_SOURCE_3G_LTE_DEVICE = 4,
1674         MCC_SOURCE_WIFI = 5,
1675         MCC_SOURCE_RESERVED = 6,
1676         MCC_SOURCE_DEFAULT = 7,
1677         MCC_SOURCE_UNINITIALIZED = 8,
1678         MCC_SOURCE_GET_CURRENT = 0x10
1679 };
1680
1681 /* DTS measurements */
1682
1683 enum iwl_dts_measurement_flags {
1684         DTS_TRIGGER_CMD_FLAGS_TEMP      = BIT(0),
1685         DTS_TRIGGER_CMD_FLAGS_VOLT      = BIT(1),
1686 };
1687
1688 /**
1689  * iwl_dts_measurement_cmd - request DTS temperature and/or voltage measurements
1690  *
1691  * @flags: indicates which measurements we want as specified in &enum
1692  *         iwl_dts_measurement_flags
1693  */
1694 struct iwl_dts_measurement_cmd {
1695         __le32 flags;
1696 } __packed; /* TEMPERATURE_MEASUREMENT_TRIGGER_CMD_S */
1697
1698 /**
1699  * iwl_dts_measurement_notif - notification received with the measurements
1700  *
1701  * @temp: the measured temperature
1702  * @voltage: the measured voltage
1703  */
1704 struct iwl_dts_measurement_notif {
1705         __le32 temp;
1706         __le32 voltage;
1707 } __packed; /* TEMPERATURE_MEASUREMENT_TRIGGER_NTFY_S */
1708
1709 /***********************************
1710  * TDLS API
1711  ***********************************/
1712
1713 /* Type of TDLS request */
1714 enum iwl_tdls_channel_switch_type {
1715         TDLS_SEND_CHAN_SW_REQ = 0,
1716         TDLS_SEND_CHAN_SW_RESP_AND_MOVE_CH,
1717         TDLS_MOVE_CH,
1718 }; /* TDLS_STA_CHANNEL_SWITCH_CMD_TYPE_API_E_VER_1 */
1719
1720 /**
1721  * Switch timing sub-element in a TDLS channel-switch command
1722  * @frame_timestamp: GP2 timestamp of channel-switch request/response packet
1723  *      received from peer
1724  * @max_offchan_duration: What amount of microseconds out of a DTIM is given
1725  *      to the TDLS off-channel communication. For instance if the DTIM is
1726  *      200TU and the TDLS peer is to be given 25% of the time, the value
1727  *      given will be 50TU, or 50 * 1024 if translated into microseconds.
1728  * @switch_time: switch time the peer sent in its channel switch timing IE
1729  * @switch_timout: switch timeout the peer sent in its channel switch timing IE
1730  */
1731 struct iwl_tdls_channel_switch_timing {
1732         __le32 frame_timestamp; /* GP2 time of peer packet Rx */
1733         __le32 max_offchan_duration; /* given in micro-seconds */
1734         __le32 switch_time; /* given in micro-seconds */
1735         __le32 switch_timeout; /* given in micro-seconds */
1736 } __packed; /* TDLS_STA_CHANNEL_SWITCH_TIMING_DATA_API_S_VER_1 */
1737
1738 #define IWL_TDLS_CH_SW_FRAME_MAX_SIZE 200
1739
1740 /**
1741  * TDLS channel switch frame template
1742  *
1743  * A template representing a TDLS channel-switch request or response frame
1744  *
1745  * @switch_time_offset: offset to the channel switch timing IE in the template
1746  * @tx_cmd: Tx parameters for the frame
1747  * @data: frame data
1748  */
1749 struct iwl_tdls_channel_switch_frame {
1750         __le32 switch_time_offset;
1751         struct iwl_tx_cmd tx_cmd;
1752         u8 data[IWL_TDLS_CH_SW_FRAME_MAX_SIZE];
1753 } __packed; /* TDLS_STA_CHANNEL_SWITCH_FRAME_API_S_VER_1 */
1754
1755 /**
1756  * TDLS channel switch command
1757  *
1758  * The command is sent to initiate a channel switch and also in response to
1759  * incoming TDLS channel-switch request/response packets from remote peers.
1760  *
1761  * @switch_type: see &enum iwl_tdls_channel_switch_type
1762  * @peer_sta_id: station id of TDLS peer
1763  * @ci: channel we switch to
1764  * @timing: timing related data for command
1765  * @frame: channel-switch request/response template, depending to switch_type
1766  */
1767 struct iwl_tdls_channel_switch_cmd {
1768         u8 switch_type;
1769         __le32 peer_sta_id;
1770         struct iwl_fw_channel_info ci;
1771         struct iwl_tdls_channel_switch_timing timing;
1772         struct iwl_tdls_channel_switch_frame frame;
1773 } __packed; /* TDLS_STA_CHANNEL_SWITCH_CMD_API_S_VER_1 */
1774
1775 /**
1776  * TDLS channel switch start notification
1777  *
1778  * @status: non-zero on success
1779  * @offchannel_duration: duration given in microseconds
1780  * @sta_id: peer currently performing the channel-switch with
1781  */
1782 struct iwl_tdls_channel_switch_notif {
1783         __le32 status;
1784         __le32 offchannel_duration;
1785         __le32 sta_id;
1786 } __packed; /* TDLS_STA_CHANNEL_SWITCH_NTFY_API_S_VER_1 */
1787
1788 /**
1789  * TDLS station info
1790  *
1791  * @sta_id: station id of the TDLS peer
1792  * @tx_to_peer_tid: TID reserved vs. the peer for FW based Tx
1793  * @tx_to_peer_ssn: initial SSN the FW should use for Tx on its TID vs the peer
1794  * @is_initiator: 1 if the peer is the TDLS link initiator, 0 otherwise
1795  */
1796 struct iwl_tdls_sta_info {
1797         u8 sta_id;
1798         u8 tx_to_peer_tid;
1799         __le16 tx_to_peer_ssn;
1800         __le32 is_initiator;
1801 } __packed; /* TDLS_STA_INFO_VER_1 */
1802
1803 /**
1804  * TDLS basic config command
1805  *
1806  * @id_and_color: MAC id and color being configured
1807  * @tdls_peer_count: amount of currently connected TDLS peers
1808  * @tx_to_ap_tid: TID reverved vs. the AP for FW based Tx
1809  * @tx_to_ap_ssn: initial SSN the FW should use for Tx on its TID vs. the AP
1810  * @sta_info: per-station info. Only the first tdls_peer_count entries are set
1811  * @pti_req_data_offset: offset of network-level data for the PTI template
1812  * @pti_req_tx_cmd: Tx parameters for PTI request template
1813  * @pti_req_template: PTI request template data
1814  */
1815 struct iwl_tdls_config_cmd {
1816         __le32 id_and_color; /* mac id and color */
1817         u8 tdls_peer_count;
1818         u8 tx_to_ap_tid;
1819         __le16 tx_to_ap_ssn;
1820         struct iwl_tdls_sta_info sta_info[IWL_MVM_TDLS_STA_COUNT];
1821
1822         __le32 pti_req_data_offset;
1823         struct iwl_tx_cmd pti_req_tx_cmd;
1824         u8 pti_req_template[0];
1825 } __packed; /* TDLS_CONFIG_CMD_API_S_VER_1 */
1826
1827 /**
1828  * TDLS per-station config information from FW
1829  *
1830  * @sta_id: station id of the TDLS peer
1831  * @tx_to_peer_last_seq: last sequence number used by FW during FW-based Tx to
1832  *      the peer
1833  */
1834 struct iwl_tdls_config_sta_info_res {
1835         __le16 sta_id;
1836         __le16 tx_to_peer_last_seq;
1837 } __packed; /* TDLS_STA_INFO_RSP_VER_1 */
1838
1839 /**
1840  * TDLS config information from FW
1841  *
1842  * @tx_to_ap_last_seq: last sequence number used by FW during FW-based Tx to AP
1843  * @sta_info: per-station TDLS config information
1844  */
1845 struct iwl_tdls_config_res {
1846         __le32 tx_to_ap_last_seq;
1847         struct iwl_tdls_config_sta_info_res sta_info[IWL_MVM_TDLS_STA_COUNT];
1848 } __packed; /* TDLS_CONFIG_RSP_API_S_VER_1 */
1849
1850 #define TX_FIFO_MAX_NUM         8
1851 #define RX_FIFO_MAX_NUM         2
1852
1853 /**
1854  * Shared memory configuration information from the FW
1855  *
1856  * @shared_mem_addr: shared memory addr (pre 8000 HW set to 0x0 as MARBH is not
1857  *      accessible)
1858  * @shared_mem_size: shared memory size
1859  * @sample_buff_addr: internal sample (mon/adc) buff addr (pre 8000 HW set to
1860  *      0x0 as accessible only via DBGM RDAT)
1861  * @sample_buff_size: internal sample buff size
1862  * @txfifo_addr: start addr of TXF0 (excluding the context table 0.5KB), (pre
1863  *      8000 HW set to 0x0 as not accessible)
1864  * @txfifo_size: size of TXF0 ... TXF7
1865  * @rxfifo_size: RXF1, RXF2 sizes. If there is no RXF2, it'll have a value of 0
1866  * @page_buff_addr: used by UMAC and performance debug (page miss analysis),
1867  *      when paging is not supported this should be 0
1868  * @page_buff_size: size of %page_buff_addr
1869  */
1870 struct iwl_shared_mem_cfg {
1871         __le32 shared_mem_addr;
1872         __le32 shared_mem_size;
1873         __le32 sample_buff_addr;
1874         __le32 sample_buff_size;
1875         __le32 txfifo_addr;
1876         __le32 txfifo_size[TX_FIFO_MAX_NUM];
1877         __le32 rxfifo_size[RX_FIFO_MAX_NUM];
1878         __le32 page_buff_addr;
1879         __le32 page_buff_size;
1880 } __packed; /* SHARED_MEM_ALLOC_API_S_VER_1 */
1881
1882 #endif /* __fw_api_h__ */