]> git.kernelconcepts.de Git - karo-tx-uboot.git/commitdiff
Merge with /home/wd/git/u-boot/custodian/u-boot-microblaze
authorWolfgang Denk <wd@denx.de>
Wed, 4 Apr 2007 00:05:48 +0000 (02:05 +0200)
committerWolfgang Denk <wd@denx.de>
Wed, 4 Apr 2007 00:05:48 +0000 (02:05 +0200)
1  2 
CREDITS
MAINTAINERS
MAKEALL
Makefile

diff --combined CREDITS
index 0099bd46c266003444d0e656a5bfd45ad2d607d7,f896e7c7dc49f52a4e74b1fbbd707536d8437bc5..7af1b806ab0e3a651b00998cdd94475cdaf78554
+++ b/CREDITS
@@@ -160,10 -160,6 +160,10 @@@ N: Thomas Friede
  E: ThomasF@hyperion-entertainment.com
  D: Support for AmigaOne
  
 +N: Paul Gortmaker
 +E: paul.gortmaker@windriver.com
 +D: Support for WRS SBC8347/8349 boards
 +
  N: Frank Gottschling
  E: fgottschling@eltec.de
  D: Support for ELTEC MHPC/BAB7xx/ELPPC boards, cfb-console, i8042, SMI LynxEM
@@@ -474,3 -470,8 +474,8 @@@ N: Timur Tab
  E: timur@freescale.com
  D: Support for MPC8349E-mITX
  W: www.freescale.com
+ N: Michal Simek
+ E: monstr@monstr.eu
+ D: Support for Microblaze, ML401, XUPV2P board
+ W: www.monstr.eu
diff --combined MAINTAINERS
index 68233cf23f2b79d3a3cd3f68a74730ef3d1fa575,965f64626ffcaf3f09624e818caee0148a8332ff..2a43848fc992b70a6a9f06c222be12b77c071a09
@@@ -284,7 -284,6 +284,7 @@@ Stefan Roese <sr@denx.de
  
        TQM85xx                 MPC8540/8541/8555/8560
  
 +      acadia                  PPC405EZ
        alpr                    PPC440GX
        bamboo                  PPC440EP
        bunbinga                PPC405EP
@@@ -351,7 -350,6 +351,7 @@@ John Zhan <zhanz@sinovee.com
  Timur Tabi <timur@freescale.com>
  
        MPC8349E-mITX           MPC8349
 +      MPC8349E-mITX-GP        MPC8349
  
  Kim Phillips <kim.phillips@freescale.com>
  
@@@ -564,6 -562,11 +564,11 @@@ Yasushi Shoji <yashi@atmark-techno.com
  
        SUZAKU                  MicroBlaze
  
+ Michal Simek <monstr@monstr.eu>
+       ML401                   MicroBlaze
+       XUPV2P                  MicroBlaze
  #########################################################################
  # Coldfire Systems:                                                   #
  #                                                                     #
diff --combined MAKEALL
index a02d8c1188d95818ac58bc1a264fa275dd953f0e,36efa40f92ad76637351117d62be545f33d3409d..47f20307064820cb265af111667cfed4a66bed85
+++ b/MAKEALL
@@@ -75,22 -75,22 +75,22 @@@ LIST_8xx=" 
  #########################################################################
  
  LIST_4xx="    \
 -      ADCIOP          alpr            AP1000          AR405           \
 -      ASH405          bamboo          bubinga         CANBT           \
 -      CMS700          CPCI2DP         CPCI405         CPCI4052        \
 -      CPCI405AB       CPCI405DT       CPCI440         CPCIISER4       \
 -      CRAYL1          csb272          csb472          DASA_SIM        \
 -      DP405           DU405           ebony           ERIC            \
 -      EXBITGEN        G2000           HH405           HUB405          \
 -      JSE             KAREF           katmai          luan            \
 -      METROBOX        MIP405          MIP405T         ML2             \
 -      ml300           ocotea          OCRTC           ORSG            \
 -      p3p440          PCI405          pcs440ep        PIP405          \
 -      PLU405          PMC405          PPChameleonEVB  sbc405          \
 -      sc3             sequoia         sequoia_nand    taishan         \
 -      VOH405          VOM405          W7OLMC          W7OLMG          \
 -      walnut          WUH405          XPEDITE1K       yellowstone     \
 -      yosemite        yucca                                           \
 +      acadia          ADCIOP          alpr            AP1000          \
 +      AR405           ASH405          bamboo          bubinga         \
 +      CANBT           CMS700          CPCI2DP         CPCI405         \
 +      CPCI4052        CPCI405AB       CPCI405DT       CPCI440         \
 +      CPCIISER4       CRAYL1          csb272          csb472          \
 +      DASA_SIM        DP405           DU405           ebony           \
 +      ERIC            EXBITGEN        G2000           HH405           \
 +      HUB405          JSE             KAREF           katmai          \
 +      luan            METROBOX        MIP405          MIP405T         \
 +      ML2             ml300           ocotea          OCRTC           \
 +      ORSG            p3p440          PCI405          pcs440ep        \
 +      PIP405          PLU405          PMC405          PPChameleonEVB  \
 +      sbc405          sc3             sequoia         sequoia_nand    \
 +      taishan         VOH405          VOM405          W7OLMC          \
 +      W7OLMG          walnut          WUH405          XPEDITE1K       \
 +      yellowstone     yosemite        yucca                           \
  "
  
  #########################################################################
@@@ -132,8 -132,7 +132,8 @@@ LIST_8260="        
  #########################################################################
  
  LIST_83xx="   \
 -      TQM834x         MPC8349EMDS     MPC8349ITX      MPC8360EMDS     \
 +      MPC832XEMDS     MPC8349EMDS     MPC8349ITX      MPC8349ITXGP    \
 +      MPC8360EMDS     sbc8349         TQM834x                         \
  "
  
  
@@@ -293,7 -292,7 +293,7 @@@ LIST_nios2="       
  #########################################################################
  
  LIST_microblaze="     \
-       suzaku
+       suzaku          ml401           xupv2p
  "
  
  #########################################################################
@@@ -312,14 -311,6 +312,14 @@@ LIST_coldfire="  
  
  LIST_avr32="atstk1002"
  
 +#########################################################################
 +## Blackfin Systems
 +#########################################################################
 +
 +LIST_blackfin=" \
 +      bf533-ezkit     bf533-stamp     bf537-stamp     bf561-ezkit     \
 +"
 +
  #-----------------------------------------------------------------------
  
  #----- for now, just run PPC by default -----
@@@ -346,15 -337,14 +346,15 @@@ build_target() 
  for arg in $@
  do
        case "$arg" in
 -      ppc|5xx|5xxx|8xx|8220|824x|8260|83xx|85xx|4xx|7xx|74xx| \
 -      arm|SA|ARM7|ARM9|ARM10|ARM11|pxa|ixp| \
 +      arm|SA|ARM7|ARM9|ARM10|ARM11|ixp|pxa| \
 +      avr32| \
 +      blackfin| \
 +      coldfire| \
        microblaze| \
        mips|mips_el| \
        nios|nios2| \
 -      x86|I486| \
 -      coldfire| \
 -      avr32)
 +      ppc|5xx|5xxx|8xx|8220|824x|8260|83xx|85xx|4xx|7xx|74xx| \
 +      x86|I486)
                        for target in `eval echo '$LIST_'${arg}`
                        do
                                build_target ${target}
diff --combined Makefile
index 3f5a70daec21bc2190583fce933052709f95c9e2,db7b04070e49aaf8c2f93a811d167335f665aff2..92350500f47203d17e9db1bd652407aa5285fea5
+++ b/Makefile
@@@ -118,7 -118,7 +118,7 @@@ include $(OBJTREE)/include/config.m
  export        ARCH CPU BOARD VENDOR SOC
  
  ifndef CROSS_COMPILE
 -ifeq ($(HOSTARCH),ppc)
 +ifeq ($(HOSTARCH),$(ARCH))
  CROSS_COMPILE =
  else
  ifeq ($(ARCH),ppc)
@@@ -128,8 -128,12 +128,8 @@@ ifeq ($(ARCH),arm
  CROSS_COMPILE = arm-linux-
  endif
  ifeq ($(ARCH),i386)
 -ifeq ($(HOSTARCH),i386)
 -CROSS_COMPILE =
 -else
  CROSS_COMPILE = i386-linux-
  endif
 -endif
  ifeq ($(ARCH),mips)
  CROSS_COMPILE = mips_4KC-
  endif
@@@ -146,7 -150,7 +146,7 @@@ ifeq ($(ARCH),microblaze
  CROSS_COMPILE = mb-
  endif
  ifeq ($(ARCH),blackfin)
 -CROSS_COMPILE = bfin-elf-
 +CROSS_COMPILE = bfin-uclinux-
  endif
  ifeq ($(ARCH),avr32)
  CROSS_COMPILE = avr32-
@@@ -178,15 -182,7 +178,15 @@@ OBJS += cpu/$(CPU)/resetvec.
  endif
  ifeq ($(CPU),bf533)
  OBJS += cpu/$(CPU)/start1.o   cpu/$(CPU)/interrupt.o  cpu/$(CPU)/cache.o
 -OBJS += cpu/$(CPU)/cplbhdlr.o cpu/$(CPU)/cplbmgr.o    cpu/$(CPU)/flush.o
 +OBJS += cpu/$(CPU)/flush.o    cpu/$(CPU)/init_sdram.o
 +endif
 +ifeq ($(CPU),bf537)
 +OBJS += cpu/$(CPU)/start1.o   cpu/$(CPU)/interrupt.o  cpu/$(CPU)/cache.o
 +OBJS += cpu/$(CPU)/flush.o    cpu/$(CPU)/init_sdram.o
 +endif
 +ifeq ($(CPU),bf561)
 +OBJS += cpu/$(CPU)/start1.o   cpu/$(CPU)/interrupt.o  cpu/$(CPU)/cache.o
 +OBJS += cpu/$(CPU)/flush.o    cpu/$(CPU)/init_sdram.o
  endif
  
  OBJS := $(addprefix $(obj),$(OBJS))
@@@ -211,13 -207,7 +211,13 @@@ ifeq ($(CPU),mpc83xx
  LIBS += drivers/qe/qe.a
  endif
  LIBS += drivers/sk98lin/libsk98lin.a
 -LIBS += post/libpost.a post/cpu/libcpu.a
 +LIBS += post/libpost.a post/drivers/libpostdrivers.a
 +LIBS += $(shell if [ -d post/lib_$(ARCH) ]; then echo \
 +      "post/lib_$(ARCH)/libpost$(ARCH).a"; fi)
 +LIBS += $(shell if [ -d post/cpu/$(CPU) ]; then echo \
 +      "post/cpu/$(CPU)/libpost$(CPU).a"; fi)
 +LIBS += $(shell if [ -d post/board/$(BOARDDIR) ]; then echo \
 +      "post/board/$(BOARDDIR)/libpost$(BOARD).a"; fi)
  LIBS += common/libcommon.a
  LIBS += $(BOARDLIBS)
  
@@@ -230,8 -220,9 +230,8 @@@ PLATFORM_LIBS += -L $(shell dirname `$(
  # The "tools" are needed early, so put this first
  # Don't include stuff already done in $(LIBS)
  SUBDIRS       = tools \
 -        examples \
 -        post \
 -        post/cpu
 +        examples
 +
  .PHONY : $(SUBDIRS)
  
  ifeq ($(CONFIG_NAND_U_BOOT),y)
@@@ -420,9 -411,6 +420,9 @@@ icecube_5100_config:                       unconfi
                }
        @$(MKCONFIG) -a IceCube ppc mpc5xxx icecube
  
 +jupiter_config:         unconfig
 +      @$(MKCONFIG) jupiter ppc mpc5xxx jupiter
 +
  v38b_config: unconfig
        @./mkconfig -a v38b ppc mpc5xxx v38b
  
@@@ -1006,9 -994,6 +1006,9 @@@ wtk_config:      unconfi
  #########################################################################
  xtract_4xx = $(subst _25,,$(subst _33,,$(subst _BA,,$(subst _ME,,$(subst _HI,,$(subst _config,,$1))))))
  
 +acadia_config:        unconfig
 +      @$(MKCONFIG) $(@:_config=) ppc ppc4xx acadia amcc
 +
  ADCIOP_config:        unconfig
        @$(MKCONFIG) $(@:_config=) ppc ppc4xx adciop esd
  
@@@ -1630,47 -1615,12 +1630,47 @@@ r5200_config :               unconfi
  ## MPC83xx Systems
  #########################################################################
  
 -TQM834x_config:       unconfig
 -      @$(MKCONFIG) $(@:_config=) ppc mpc83xx tqm834x
 +MPC832XEMDS_config \
 +MPC832XEMDS_HOST_33_config \
 +MPC832XEMDS_HOST_66_config \
 +MPC832XEMDS_SLAVE_config:     unconfig
 +      @echo "" >include/config.h ; \
 +      if [ "$(findstring _HOST_,$@)" ] ; then \
 +              echo -n "... PCI HOST " ; \
 +              echo "#define CONFIG_PCI" >>include/config.h ; \
 +      fi ; \
 +      if [ "$(findstring _SLAVE_,$@)" ] ; then \
 +              echo "...PCI SLAVE 66M"  ; \
 +              echo "#define CONFIG_PCI" >>include/config.h ; \
 +              echo "#define CONFIG_PCISLAVE" >>include/config.h ; \
 +      fi ; \
 +      if [ "$(findstring _33_,$@)" ] ; then \
 +              echo -n "...33M ..." ; \
 +              echo "#define PCI_33M" >>include/config.h ; \
 +      fi ; \
 +      if [ "$(findstring _66_,$@)" ] ; then \
 +              echo -n "...66M..." ; \
 +              echo "#define PCI_66M" >>include/config.h ; \
 +      fi ;
 +      @$(MKCONFIG) -a MPC832XEMDS ppc mpc83xx mpc832xemds
  
  MPC8349EMDS_config:   unconfig
        @$(MKCONFIG) $(@:_config=) ppc mpc83xx mpc8349emds
  
 +MPC8349ITX_config \
 +MPC8349ITX_LOWBOOT_config \
 +MPC8349ITXGP_config:  unconfig
 +      @mkdir -p $(obj)include
 +      @mkdir -p $(obj)board/mpc8349itx
 +      @echo "#define CONFIG_$(subst _LOWBOOT,,$(@:_config=))" >> $(obj)include/config.h
 +      @if [ "$(findstring GP,$@)" ] ; then \
 +              echo "TEXT_BASE = 0xFE000000" >$(obj)board/mpc8349itx/config.tmp ; \
 +      fi
 +      @if [ "$(findstring LOWBOOT,$@)" ] ; then \
 +              echo "TEXT_BASE = 0xFE000000" >$(obj)board/mpc8349itx/config.tmp ; \
 +      fi
 +      @$(MKCONFIG) -a -n $(@:_config=) MPC8349ITX ppc mpc83xx mpc8349itx
 +
  MPC8360EMDS_config \
  MPC8360EMDS_HOST_33_config \
  MPC8360EMDS_HOST_66_config \
@@@ -1695,12 -1645,8 +1695,12 @@@ MPC8360EMDS_SLAVE_config:     unconfi
        fi ;
        @$(MKCONFIG) -a MPC8360EMDS ppc mpc83xx mpc8360emds
  
 -MPC8349ITX_config:    unconfig
 -      @$(MKCONFIG) $(@:_config=) ppc mpc83xx mpc8349itx
 +sbc8349_config:               unconfig
 +      @$(MKCONFIG) $(@:_config=) ppc mpc83xx sbc8349
 +
 +TQM834x_config:       unconfig
 +      @$(MKCONFIG) $(@:_config=) ppc mpc83xx tqm834x
 +
  
  #########################################################################
  ## MPC85xx Systems
@@@ -2358,20 -2304,27 +2358,30 @@@ suzaku_config:       unconfi
        @echo "#define CONFIG_SUZAKU 1" >> $(obj)include/config.h
        @$(MKCONFIG) -a $(@:_config=) microblaze microblaze suzaku AtmarkTechno
  
+ ml401_config: unconfig
+       @ >include/config.h
+       @echo "#define CONFIG_ML401 1" >> include/config.h
+       @./mkconfig -a $(@:_config=) microblaze microblaze ml401 xilinx
+ xupv2p_config:        unconfig
+       @ >include/config.h
+       @echo "#define CONFIG_XUPV2P 1" >> include/config.h
+       @./mkconfig -a $(@:_config=) microblaze microblaze xupv2p xilinx
  #########################################################################
  ## Blackfin
  #########################################################################
 -ezkit533_config       :       unconfig
 -      @$(MKCONFIG) $(@:_config=) blackfin bf533 ezkit533
 +bf533-ezkit_config:   unconfig
 +      @$(MKCONFIG) $(@:_config=) blackfin bf533 bf533-ezkit
 +
 +bf533-stamp_config:   unconfig
 +      @$(MKCONFIG) $(@:_config=) blackfin bf533 bf533-stamp
  
 -stamp_config  :       unconfig
 -      @$(MKCONFIG) $(@:_config=) blackfin bf53stamp
 +bf537-stamp_config:   unconfig
 +      @$(MKCONFIG) $(@:_config=) blackfin bf537 bf537-stamp
  
 -dspstamp_config       :       unconfig
 -      @$(MKCONFIG) $(@:_config=) blackfin bf533 dsp_stamp
 +bf561-ezkit_config:   unconfig
 +      @$(MKCONFIG) $(@:_config=) blackfin bf561 bf561-ezkit
  
  #========================================================================
  # AVR32
@@@ -2408,8 -2361,6 +2418,8 @@@ clean
        rm -f $(obj)board/netstar/*.srec $(obj)board/netstar/*.bin
        rm -f $(obj)board/trab/trab_fkt $(obj)board/voiceblue/eeprom
        rm -f $(obj)board/integratorap/u-boot.lds $(obj)board/integratorcp/u-boot.lds
 +      rm -f $(obj)board/bf533-ezkit/u-boot.lds $(obj)board/bf533-stamp/u-boot.lds
 +      rm -f $(obj)board/bf537-stamp/u-boot.lds $(obj)board/bf561-ezkit/u-boot.lds
        rm -f $(obj)include/bmp_logo.h
        rm -f $(obj)nand_spl/u-boot-spl $(obj)nand_spl/u-boot-spl.map
  
@@@ -2440,3 -2391,4 +2450,4 @@@ backup
        gtar --force-local -zcvf `date "+$$F-%Y-%m-%d-%T.tar.gz"` $$F
  
  #########################################################################