]> git.kernelconcepts.de Git - karo-tx-uboot.git/blob - post/cpu/mpc8xx/watchdog.c
da191c232c276a6abca7a3a84112c218bddda749
[karo-tx-uboot.git] / post / cpu / mpc8xx / watchdog.c
1 /*
2  * (C) Copyright 2002
3  * Wolfgang Denk, DENX Software Engineering, wd@denx.de.
4  *
5  * See file CREDITS for list of people who contributed to this
6  * project.
7  *
8  * This program is free software; you can redistribute it and/or
9  * modify it under the terms of the GNU General Public License as
10  * published by the Free Software Foundation; either version 2 of
11  * the License, or (at your option) any later version.
12  *
13  * This program is distributed in the hope that it will be useful,
14  * but WITHOUT ANY WARRANTY; without even the implied warranty of
15  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
16  * GNU General Public License for more details.
17  *
18  * You should have received a copy of the GNU General Public License
19  * along with this program; if not, write to the Free Software
20  * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
21  * MA 02111-1307 USA
22  */
23
24 #include <common.h>
25
26 /*
27  * Watchdog test
28  *
29  * The test verifies the watchdog timer operation.
30  * On the first iteration, the test routine disables interrupts and
31  * makes a 10-second delay. If the system does not reboot during this delay,
32  * the watchdog timer is not operational and the test fails. If the system
33  * reboots, on the second iteration the test routine reports a success.
34  */
35
36 #include <post.h>
37 #include <watchdog.h>
38
39 #if CONFIG_POST & CONFIG_SYS_POST_WATCHDOG
40
41 static ulong gettbl (void)
42 {
43         ulong r;
44
45   asm ("mftbl %0":"=r" (r));
46
47         return r;
48 }
49
50 int watchdog_post_test (int flags)
51 {
52         if (flags & POST_REBOOT) {
53                 /* Test passed */
54
55                 return 0;
56         } else {
57                 /* 10-second delay */
58                 int ints = disable_interrupts ();
59                 ulong base = gettbl ();
60                 ulong clk = get_tbclk ();
61
62                 while ((gettbl () - base) / 10 < clk);
63
64                 if (ints)
65                         enable_interrupts ();
66
67                 /*
68                  * If we have reached this point, the watchdog timer
69                  * does not work
70                  */
71                 return -1;
72         }
73 }
74
75 #endif /* CONFIG_POST & CONFIG_SYS_POST_WATCHDOG */