]> git.kernelconcepts.de Git - karo-tx-uboot.git/blob - CHANGELOG
* Patch by Stefan Roese, 30 Jun 2005:
[karo-tx-uboot.git] / CHANGELOG
1 ======================================================================
2 Changes for U-Boot 1.1.3:
3 ======================================================================
4
5 * Patch by Stefan Roese, 30 Jun 2005:
6   Fix PHY addresses for PPChameleon and CATcenter boards
7   Change MAINTAINER for most esd boards
8
9 * Fix baudrate calculation problem on MPC5200 systems
10
11 * Add EEPROM and RTC support for HMI1001 board
12
13 * Patch by Detlev Zundel, 20 Jun 2005:
14   Fix initialization of low active GPIO pins on inka4x0 board
15
16 * Enable redundant environment, disable HW flash protection of
17   HMI1001 board
18
19 * Patch by Travis Sawyer, 10 Jun 2005:
20   Initialize allocated dev and private hw structures
21   after their respective allocation in 440gx_enet.c
22
23 * Patch by Steven Scholz, 10 Jun 2005:
24   Fix byteorder problems with second argument of "bootm" with
25   standalone images;
26
27 * Add support for HMI1001 board
28
29 * Disable "date" and "sntp" commands on TQM866M
30
31 * Fix watchdog reset problems on LWMON board
32
33 * Patch by Juergen Selent, 17 May 2005:
34   Add support for Funkwerk VoVPN gateway module.
35
36 * Cleanup debug code for MPC8220 FEC driver
37
38 * Extend burst mode RAM test program to take a loop count
39   (0 = infinite)
40
41 * Use CONFIG_DRIVER_KS8695ETH to enable KS8695 ethernet driver on
42   those boards that use it.
43
44 * Patches by Greg Ungerer, 19 May 2005:
45   - add support for the KS8695P (ARM 922 based) CPU
46   - add support for the OpenGear CM4008, CM4116 and CM4148 boards
47
48 * Patch by Steven Scholz, 19 May 2005:
49   Add support for CONFIG_SERIAL_TAG on ARM boards
50
51 * Add PCI support for Sorcery board.
52   Code cleanup (especially Sorcery / Alaska / Yukon serial driver).
53
54 * Fix compile problems caused by new burst mode SDRAM test;
55   make port pins to trigger logic analyzer configurable
56
57 * Fix timer handling on MPC85xx systems
58
59 * Fix debug code in omap5912osk flash driver
60
61 * Add support for MPC8247 based "IDS8247" board.
62
63 * Add support for 2 x TSEC interfaces on the TQM8540 board.
64
65 * On LWMON we must use the watchdog to reset the board as the CPU
66   genereated HRESET pulse is too short to reset the external
67   circuitry.
68
69 * Add test tool to exercise SDRAM accesses in burst mode
70   (as standalone program, MPC8xx/PowerPC only)
71
72 * Increase CFG_MONITOR_LEN for Rattler board to match actual code
73   size.
74
75 * Major upate of JFFS2 code; now in sync with snapshot of MTD CVS of
76   March 13, 2005); new configuration option CONFIG_JFFS2_LZO_LZARI
77   added to support LZO and LZARI compression modes (undefined by
78   default).
79
80 * Fix problem with symbolic links in JFFS2 code.
81
82 * Use linker ASSERT statement to prevent undetected overlapping of
83   sections on PPChameleon board; other boards might use this, too.
84
85 * Patch by Stefan Roese, 03 May 2005:
86   Update for P3G4
87   Fix problems in cmd_universe.c
88
89 * Patch by Matthias Fuchs, 03 May 2005:
90   Added missing variable declaration in cmd_nand.c
91   Modified CFG_PCI_PTM1MS in configs/PLU405.h to map 128MB ram
92
93 * Fix INKA4x0: use CS1 as gpio_wkup_6 output
94
95 * Fix bug in the SDRAM initialization code for canmb, IceCube and
96   PM520 boards.
97   Fix PHY address for canmb board.
98
99 * Cleanup serial console baudrate calculation on AT91RM9200;
100   get rid of obsolete CFG_AT91C_BRGR_DIVISOR definition
101
102 * Patch by Matthias Fuchs, 18 Apr 2005:
103   Make PCI target address spaces on PMC405 and CPCI405 boards
104   configurable via environment variables
105
106 * Auto-size RAM on canmb board.
107
108 * Add support for canmb board
109
110 * Patch by Stefan Roese, 13 Apr 2005:
111   Update for esd apc405
112
113 * Fixes for TQM8560 board:
114   - fix clock rates
115   - remove debug messages
116   - fix flash sector protection
117
118 * Patch by Steven Scholz, 07 Apr 2005:
119   Add i2c_reg_write() and i2c_reg_write() for at91rm9200 I2C
120
121 * Patches by Steven Scholz, 07 Apr 2005:
122   Fix compiler warning in altera.c
123   Fix warning in cpu/arm920t/at91rm9200/i2c.c
124
125 * Patch by Ladislav Michl, 06 Apr 2005:
126   Fix voiceblue configuration.
127
128 * Patch by Stefan Roese, 06 Apr 2005:
129   Updates for OCOTEA board:
130   - Changed U-Boot size from 512kByte to 256kByte
131   - Fixed flash driver to support boot from soldered user flash
132   - Added README for switch from PIBS firmware to U-Boot
133
134 * Patch by Travis Sawyer, 05 Apr 2005:
135   - Change timer frequency for ppc 440 from 10 ms to 1 ms.
136     Problem found by Andrew Wozniak.
137
138 * Patch by Steven Scholz, 06 Apr 2005:
139   - creating SoC subdir for Atmel AT91RM9200 cpu/arm920t/at91rm9200
140   - moving code out of cpu/at91rm9200 into cpu/arm920t/at91rm9200
141
142 * Patches by Robert Whaley, 29 Nov 2004:
143   - update the pxa-regs.h file for PXA27x chips
144   - add PXA27x based ADSVIX board
145   - add support for MMC on PXA27x processors
146
147 * Patch by Andrew E. Mileski, 28 Nov 2004:
148   Fix PPC4xx SPD SDRAM detection bug
149
150 * Patch by Hiroshi Ito, 26 Nov 2004:
151   Fix logic of "test -z" and "test -n" commands
152
153 * Patch by Ladislav Michl, 05 Apr 2005:
154   Add support for VoiceBlue board.
155
156 * Patch by Ladislav Michl, 05 Apr 2005:
157   Fix netboot_common() prototypes.
158
159 * Patch by Steven Scholz, 05 Apr 2005:
160   Use i.MX watchdog timer for reset_cpu()
161
162 * Patch by Steven Scholz, 05 Apr 2005:
163   Move reset_cpu() out of cpu/arm920t/start.S into the SoC specific
164   subdirectories cpu/arm920t/imx/ and cpu/arm920t/s3c24x0/
165   (now in interupts.c)
166
167 * Add support for MPC8220 based "sorcery" board.
168
169 * Add support for TQM8560 board.
170
171 * Add FEC support for TQM8540 board.
172   Interfaces are named as follows: "ENET1" - TSEC2, "ENET2" - FEC
173
174 * Patch by Martin Krause, 04 Apr 2005:
175   Update default configuration for CMC_PU2 board.
176
177 * Patch by Steven Scholz, 04 Apr 2005:
178   - remove all references to CONFIG_INIT_CRITICAL for ARM based boards
179   - introduce two new configuration options instead:
180     CONFIG_SKIP_LOWLEVEL_INIT and CONFIG_SKIP_RELOCATE_UBOOT
181
182 * Patch by Steven Scholz, 04 Apr 2005:
183   Make sure that MDIO clock does not exceed 2.5 MHz on AT91
184
185 * Fix timer code for ARM systems: make sure that udelay() does not
186   reset timers so it's save to use udelay() in timeout code.
187
188 * Patch by Mathias Küster, 23 Nov 2004:
189   add udelay support for the mcf5282 cpu
190
191 * Patch by Tolunay Orkun, 16 November 2004:
192   fix incorrect onboard Xilinx CPLD base address
193
194 * Patch by Jerry Van Baren, 08 Nov 2004:
195   - Add low-boot option for MPC8260ADS board (if lowboot is selected,
196     the jumper for the HRCW source should select flash. If lowboot is
197     not selected, the jumper for the HRCW source should select the
198     BCSR.
199   - change default load base address to 0x00400000
200
201 * Patch by Yuli Barcohen, 08 Nov 2004:
202   Add support for Analogue & Micro Rattler boards.
203   Tested on Rattler8248.
204
205 * Patch by Andre Renaud, 08 Nov 2004:
206   Fix watchdog support in common/lcd.c
207
208 * Patch by Marc Leeman, 05 Nov 2003:
209   Enable all 4 PCMBRW buffers for the MPC8245 processor since the CPU
210   bug only affects the XPC8245 processors
211
212 * Patches by Josef Wagner, 29 Oct 2004:
213   - Add support for MicroSys CPU87 board
214   - Add support for MicroSys PM854 board
215
216 * Patch by Jian Zhang, 02 Nov 2004:
217   Add 16-bit NAND support
218
219 * Patch by Scott McNutt, 01 Nov 2004:
220   Add missing NIOS/NIOS2 support for "iminfo" command
221
222 * Patch by Detlev Zundel, 29 Oct 2004:
223   Add missing NIOS/NIOS2 support for "mkimage" tool.
224
225 * Patch by David Adair, 27 Oct 2004:
226   Add missing 440GX SDRAM Controller reset
227
228 * Patch by Steven Scholz, 25 Oct 2004:
229   Declare reset_cpu() in include/common.h instead locally
230
231 * Patch by Yusdi Santoso, 22 Oct 2004:
232   - Add support for HIDDEN_DRAGON board
233   - fix endianess problem in driver/rtl1839.c
234
235 * Patch by Allen Curtis, 21 Oct 2004:
236   support multiple serial ports
237
238 * Patch by Richard Klingler, 03 Apr 2005:
239   Add call to eth_halt() in net/net.c when called functions fail
240   after eth_init() has been called.
241
242 * Patch by Sam Song, 3 April 2005:
243   - Update README.Netconsole
244   - Update README
245
246 * Prepare for SoC rework of ARM code:
247   - rename CONFIG_BOOTBINFUNC into  CONFIG_INIT_CRITICAL
248   - rename memsetup into lowlevel_init (function name and source files)
249   Patch by Steven Scholz, 03 Apr 2005:
250   - create SoC specific directories include/asm-arm/arch-imx and
251     include/asm-arm/arch-s3c24x0
252
253 * Fix problems with SNTP support;
254   enable SNTP support in some boards.
255
256 * Patches by Martin Krause, 01 Apr 2005:
257   - Fix flash erase timeout on CMC_PU2
258   - Add automatic HW detection for CMC_PU2 and CMC_BASIC
259
260 * Patch by Steven Scholz, 13 March 2005:
261   fix cache enabling for AT91RM9200
262
263 * Patch by Masami Komiya, 30 Mar 2005:
264   add SNTP support and expand time server and time offset fields of
265   DHCP support. See doc/README.SNTP
266
267 * Patch by Steven Scholz, 13 Dec 2004:
268   Fix bug in at91rm920 ethernet driver
269
270 * Patch by Steven Scholz, 13 Dec 2004:
271   Remove duplicated code by merging memsetup.S files for
272   at91rm9200 boards into one cpu/at91rm9200/lowlevel.S
273
274 * Patch by Detlev Zundel, 31 Mar 2005:
275   Cleanup duplicate definition of overwrite_console()
276
277 * Update TQM5200 configuration;
278   prepare for Rev. 200 starter kit boards
279
280 * Patch by Scott McNutt, 21 Oct 2004:
281   Add support for Nios-II EPCS Controller core.
282
283 * Patch by Scott McNutt, 20 Oct 2004:
284   Nios-II cleanups:
285   - Add sysid command (Nios-II only).
286   - Locate default exception trampoline at proper offset.
287   - Implement I/O routines (readb, writeb, etc)
288   - Implement do_bootm_linux
289
290 * Patches by Martin Krause, 22 Mar 2005:
291   - use TQM5200_auto as MAKEALL target for TQM5200 systems
292   - add support for SM501 graphics controller
293   - add support for graphic console on TQM5200
294   - add support for TQM5200 Rev 200
295   - cleanup, fix typo in include/configs/TQM5200.h
296
297 * Patch by Manfred Baral, 17 Mar 2005:
298   Fix typo
299
300 * Fix RTC configuration for PPChameleon board
301
302 * Cleanup, fix typo in include/configs/TQM5200.h
303
304 * Patch by Stefan Roese, 16 Mar 2005:
305   Update for esd auto_update and hh405 board
306
307 * Adapt for U-Boot image size (new features enabled) on TQM5200
308
309 * Update code for TQM8540 board (and 85xx in general):
310   - Change the name of the Ethernet driver: MOTO ENET -> ENET
311   - Reformat boot messages
312   - Enable redundant environment
313   - Replace the -O2 optimization flag with -mno-string
314
315 * Patch by David Brownell, 10 Mar 2005:
316   Restore copyright statements in OHCI drivers.
317
318 * Add support for TQM8540 board
319
320 * Patch by Detlev Zundel, 14 Mar 2005:
321   NC650: changed NAND flash addressing to using UPMB
322
323 * Patch by Stefan Roese, 14 Mar 2005:
324   Update for esd voh405 fpga image
325
326 * INKA4x0: Allow initialization of LCD backlight dimming from
327   "brightness" environment variable.
328
329 * Add port initialization for digital I/O on INKA4x0
330
331 * Patch by Stefan Roese, 01 Mar 2005:
332   Update for esd boards dp405 and hub405
333
334 * Fix get_partition_info() parameter error in all other calls
335   (common/cmd_ide.c, common/cmd_reiser.c, common/cmd_scsi.c).
336
337 * Enable USB and IDE support for INKA4x0 board
338
339 * Patch by Andrew Dyer, 28 Feb 2005:
340   fix ext2load passing an incorrect pointer to get_partition_info()
341   resulting in load failure for devices other than 0
342
343 * Add support for SRAM and 2 x Quad UARTs on INKA4x0 board
344
345 * Cleanup USB and partition defines
346
347 * Add support for ext2 filesystems and image timestamps to TQM5200 board
348
349 * Add reset code for Coral-P on INKA4x0 board
350
351 * Patch by Martin Krause, 28 Jun 2004:
352   Update for TRAB board.
353
354 * Fix some missing "volatile"s in MPC5xxx FEC driver
355
356 * Fix cirrus voltage detection (for CPC45)
357
358 * Fix byteorder problem in usbboot and scsiboot commands.
359
360 * Patch by Cajus Hahn, 04 Feb 2005:
361   - don't insist on leading '/' for filename in ext2load
362   - set default partition to useful value (1) in ext2load
363
364 * Patch by Andrew Dyer, 08 Jan 2005:
365   fix wrong return codes in ext2 code
366
367 * Removed '--no-warn-mismatch' option from Makefile. This option
368   makes 'ld' to overlook binary objects compatibility.
369
370 * Moved $(PLATFORM_LIBS) from the library group (--start-group ...
371   --end-group) outside of the group. This will make 'ld' to do
372   _multiple_ search in the library group when resolving symbol
373   references and do only a _single_ seach in libgcc.a after the group
374   search.
375
376 * Fix stability problems on CPC45 board again.
377
378 * Make image detection for diskboot / usbboot / scsiboot more robust
379   (also check header checksum)
380
381 * Update CPC45 board configuration.
382
383 * Add USB and PCI support for INKA4x0 board
384
385 * Fix IDE stability problems on CPC45 board (needs 2 x EIEIO).
386
387 * Code cleanup
388
389 * Patch by Robin Getz, 13 Oct 2004:
390   Add standalone application to change SMC91C111 MAC addresses,
391   see examples/README.smc91111_eeprom
392
393 * Patch by Xiaogeng (Shawn) Jin, 12 Oct 2004:
394   Fix Flash support for ARM Integrator CP.
395
396 * Patch by Richard Woodruff, 10 Jan 2005:
397   Update support for OMAP2420 (ARM11) and H4 board:
398   o clean up and add new types to H4 memory probe code.
399   o fix to work with internal boot.
400   o added PRCM config III operation.
401   o fix marginal flash timings.
402   o add revison ATAG usage.
403   o enable voltage scaling at power chip.
404   o fix compile error for i2c.
405
406 * Fix network problem (error when receiving multiple ARP packets)
407
408 * Patch by Daniel Poirot, 12 Oct 2004:
409   Add support for Wind River sbc405 board
410
411 * Patch by Rainer Brestan, 12 Oct 2004:
412   Make examples/Makefile more robust
413
414 * Patch by Sam Song, 11 October 2004:
415   - Add RESET/PREBOOT/AUTOBOOT support for RPXlite_DW board
416   - Adjust CPU:BUS frequency ratio 1:1 when core frequency
417     less than 50MHz
418
419 * Patch by Sam Song, 10 Oct 2004:
420   Fix a parameter error in run_command() in main.c
421
422 * Patch by Richard Woodruff, 01 Oct 2004:
423   add support for the TI OMAP2420 processor and its H4 reference
424   board
425
426 * Patch by Christian Pellegrin, 24 Sep 2004:
427   Added support for NE2000 compatible (DP8390, DP83902) NICs.
428
429 * Patch by Leif Lindholm, 23 Sep 2004:
430   add support for the AMD db1550 board
431
432 * Patch by Travis Sawyer, 15 Sep 2004:
433   Add CONFIG_SERIAL_MULTI support for ppc4xx,
434   update README.serial_multi
435
436 * Patches by David Snowdon, 07 Sep 2004:
437   - add u-boot.hex target in the top level Makefile
438   - add support for the UNSW/NICTA PLEB 2 board (pleb2)
439   - use -mtune=xscale and -march=armv5 options for PXA
440
441 * Patch by Florian Schlote, 08 Sep 2004:
442   Add support for SenTec-COBRA5272-board (Coldfire).
443
444 * Patch by Gleb Natapov, 07 Sep 2004:
445   mpc824x: set PCI latency timer to a sane value
446   (is 0 after reset).
447
448 * Patch by Kurt Stremerch, 03 Sep 2004:
449   Add bitstream configuration option for fpga command (Xilinx only).
450
451 * Patch by Kurt Stremerch, 03 Sep 2004:
452   Add Xilinx Spartan2E family FPGA support
453
454 * Patch by Jeff Angielski, 02 Sep 2004:
455   Add Added support for H2 revision of the EP8260 board.
456   Fixed formatting for some of the EP8260 related source files.
457
458 * Patch by Jon Loeliger, 02 Sep 2004:
459   Reset monitor size back to 256 so environment can be written
460   to flash on MPC85xx ADS and CDS releases.
461
462 * Patch by Paolo Broggini, 02 Sep 2004:
463   Make BSS clearing on ARM systems more robust
464
465 * Patch by Yue Hu and Joe, 01 Sep 2004:
466   - add PCI support for ixp425;
467   - add EEPRO100 suppor tfor ixdp425 board.
468
469 * Fix problem with protected sector detection in driver/cfi_flash.c
470
471 ======================================================================
472 Changes for U-Boot 1.1.2:
473 ======================================================================
474
475 * Code cleanup, mostly for GCC-3.3.x
476
477 * Cleanup confusing use of CONFIG_ETH*ADDR - ust his only to
478   pre-define a MAC address; use CONFIG_HAS_ETH* to enable support for
479   additional ethernet addresses.
480
481 * Cleanup drivers/i82365.c - avoid duplication of code
482
483 * Fix bogus "cannot span across banks" flash error message
484
485 * Code cleanup
486
487 * Add support for CompactFlash for the CPC45 Board.
488
489 * Fix problems with CMC_PU2 flash driver.
490
491 * Cleanup:
492   - avoid trigraph warning in fs/ext2/ext2fs.c
493   - rename UC100 -> uc100
494
495 * Add support for UC100 board
496
497 * Patch by Stefan Roese, 16 Dez 2004:
498   - ext2fs support added
499   - Tundra universe support added
500   - Coldfire MCF5249 support added (no preloader needed!)
501   - MCF5249 board TASREG added
502   - PPC boards added: APC405, CPCI405DT, CPCI750, G2000, HH405,
503     VOM405, WUH405
504   - some esd boards updated
505   - memory commands "mdc" and "mwc" added for cyclic read/write
506     (CONFIG_MX_CYCLIC, see README for further description)
507
508 * Add support for INKA4X0 board
509
510 * Patch by Steven Scholz, 12 Dec 2004:
511   Fix typo in AT91 memory setup.
512
513 * Patch by Martin Krause, 27 Oct 2004:
514   - add support for "STK52xx" board (including PS/2 multiplexer)
515   - add hardware detection for TQM5200
516
517 * Clean up CMC PU2 flash driver
518
519 * Update MAINTAINERS file
520
521 * Fix bug in MPC823 LCD driver
522
523 * Fix udelay() on AT91RM9200 for delays < 1 ms.
524
525 * Enable long help on CMC PU2 board;
526   fix reset issue;
527   increase CPU speed from 179 to 207 MHz.
528
529 * Fix smc91111 ethernet driver for Xaeniax board (need to handle
530   unaligned tail part specially).
531
532 * Update for AT91RM9200DK and CMC_PU2 boards:
533   - Enable booting directly from flash
534   - fix CMC_PU2 flash driver
535
536 * Fix mkimage usage message
537
538 * Map SRAM on NC650 board
539
540 * Work around for Ethernet problems on Xaeniax board
541
542 * Patch by TsiChung Liew, 23 Sep 2004:
543   - add support for MPC8220 CPU
544   - Add support for Alaska and Yukon boards
545
546 * Fix configuration for ERIC board (needs more room)
547
548 * Adjust MIPS compiler options at run-time depending on tools version
549   ("-march=4kc -mtune=4kc -Wa,-mips_allow_branch_to_undefined" for new,
550   "-mcpu=4kc" for old tools)
551
552 * Add passing of the command line and memory size information to  the
553   kernel on xaeniax board.
554
555 * Enable NAND flash support for NC650 board.
556
557 * Patch by Thomas Lange 07 Oct 2004:
558   Updated README for DBAu1x00 boards to match current status
559
560 * Patch by Philippe Robin, 28 Sept 2004:
561   Fix Flash support for Versatile.
562
563 * Patch by Roger Blofeld, 16 Sep 2004:
564   Fix timeout for DHCP command retry
565
566 * Patch by Pantelis Antoniou, 14 Sep 2004:
567   Fix early serial hang when CONFIG_SERIAL_MULTI is defined.
568
569 * Patch by Pantelis Antoniou, 14 Sep 2004:
570   Kick watchdog when bz-decompressing
571
572 * Fix CFG_HZ problems on AT91RM9200 systems
573   [Remember: CFG_HZ should be 1000 on ALL systems!]
574
575 * Patch by Gridish Shlomi, 30 Aug 2004:
576   - Add support to revA version of PQ27 and PQ27E.
577   - Reverted MPC8260ADS baudrate back to original 115200
578
579 * Patch by Hojin, 17 Sep 2004:
580   Fix typo in cfi_flash.c
581
582 * Patch by Mark Jonas, 09 September 2004:
583   mtest's data line test (with CFG_ALT_MEMTEST set) returned a wrong
584   error message
585
586 * Patch by Mark Jonas, 31 August 2004:
587   Added option CFG_XLB_PIPELINING to enable XLB pipelining. This
588   improves FTP performance for MPC5200 systems. Enabled for IceCube
589   by default.
590
591 * Patch by Michael Bendzick, 30 Aug 2004:
592   - Improve platform.S code for omap1510inn that detects whether code
593     is running from SDRAM or not. Patch allows SDRAM to be configured
594     if code is running out of SRAM at 0x20000000.
595
596 * Patch by Frederick Klatt, 30 Aug 2004:
597   Add support for the Wind River SBC8540/SBC8560 boards
598
599 * Configure SX1 board to use drivers/cfi_flash.c
600
601 * Patches by Michael Bendzick, 30 Aug 2004:
602   - Configure omap1510inn board to use drivers/cfi_flash.c
603   - Make drivers/cfi_flash.c protect environment and redundant
604     environment.
605
606 * Patch by Steven Scholz, 23 Jun 2004:
607   - Add script (tools/img2brec.sh) to programm U-Boot into
608     (Synch)Flash using the Bootstrap Mode of the MC9328MX1/L
609
610 * Patches by Scott McNutt, 24 Aug 2004:
611   - Add support for Altera Nios-II processors.
612   - Add support for Psyent PCI-5441 board.
613   - Add support for Psyent PK1C20 board.
614
615 * Patches by Jon Loeliger, 24 Aug 2004:
616   - Add support for the MPC8541 and MPC8555 CDS boards
617   - Cleanup eth?addr handling: make dependent on CONFIG_ETH?ADDR
618   - Convert MPC85xxADS to use common CFI flash driver
619   - Fix PCI window on MPC85xx; remove unneeded PCI initialization
620     from board_early_init_f()
621   - Provide SW workaround for PCI initialization on 85xx CDS
622
623 * Patches by George G. Davis, 24 Aug 2004:
624   - Enable ramdisk/initrd tagged param support for omap1610h2_config
625   - Remove static network setup defaults from mx1ads_config
626   - update ARM boards to use constants from mach-types.h
627
628 * Patch by Gary Jennejohn, 04 Oct 2004:
629   - fix I2C on at91rm9200
630   - add support for Ricoh RS5C372A RTC
631
632 * Patch by Gary Jennejohn, 01 Oct 2004:
633   - add support for CMC PU2 board
634   - add support for I2C on at91rm9200
635
636 * Patch by Gary Jennejohn, 28 Sep 2004:
637   fix baudrate handling on at91rm9200
638
639 * Patch by Yuli Barcohen, 22 Aug 2004:
640   - remove ZPC.1900 board-specific flash driver;
641     switch the port to generic CFI driver;
642   - port clean-up
643
644 * Patch by Hinko Kocevar, 21 Aug 2004:
645   Add calc_fbsize() function used with VIDEOLFB_TAG on TRAB
646
647 * Clean up tools/bmp_logo.c to not add trailing white space
648
649 * Patch by Hinko Kocevar, 21 Aug 2004:
650   - Group common framebuffer functions in common/lcd.c
651   - Group common framebuffer macros and #defines in include/lcd.h
652   - Provide calc_fbsize() for video ATAG
653
654 * Patch by Sam Song, 21 August 2004:
655   - Fix a typo in README
656   - Align "(RO)" output for "flinfo" after "protect on"
657   - Add RESET support for RPXlite_DW board; adjust CPU:BUS frequency
658     ratio 1:1 when core frequency less than 50MHz
659
660 * Patches by Hinko Kocevar, 21 Aug 2004:
661   - fix some "use of label at end of compound statement" warnings
662   - Define type of LCD panel on lubbock board if CONFIG_LCD is used
663
664 * Patch by Steven Scholz, 16 Aug 2004:
665   - Introducing the concept of SoCs "./cpu/$(CPU)/$(SOC)"
666   - creating subdirs for SoCs ./cpu/arm920t/imx and ./cpu/arm920t/s3c24x0
667   - moving SoC specific code out of cpu/arm920t/ into cpu/arm920t/$(SOC)/
668   - moving drivers/s3c24x0_i2c.c and drivers/serial_imx.c out of drivers/
669     into cpu/arm920t/$(SOC)/
670
671 * Patches by Sean Chang, 09 Aug 2004:
672   - Added support for both 8 and 16 bit mode access to System ACE CF
673     through MPU.
674   - Fixed missing System ACE CF device during get FAT partition info
675     in fat_register_device function.
676   - Enabled System ACE CF support on ML300.
677
678 * Patch by Sean Chang, 09 Aug 2004:
679   Synch defines for saveenv and do_saveenv functions so they get
680   compiled under the same statement.
681
682 * Patch by Sean Chang, 09 Aug 2004:
683   - Added I2C support for ML300.
684   - Added support for ML300 to read out its environment information
685     stored on the EEPROM.
686   - Added support to use board specific parameters as part of
687     U-Boot's environment information.
688   - Updated MLD files to support configuration for new features
689     above.
690
691 * Patches by Travis Sawyer, 05 Aug 2004:
692   - Remove incorrect bridge settings for eth group 6
693   - Add call to setup bridge in ppc_440x_eth_initialize
694   - Fix ppc_440x_eth_init to reset the phy only if its the
695     first time through, otherwise, just check the phy for the
696     autonegotiated speed/duplex.  This allows the use of netconsole
697   - only print the speed/duplex the first time the phy is reset.
698
699 * Patch by Shlomo Kut, 29 Mar 2004:
700   Add support for MKS Instruments "Quantum" board
701
702 * Fix build problem with Cogent boards;
703   avoid using <asm/byteorder.h> when using the host compiler
704
705 * Patch by Ganapathi C, 04 Aug 2004:
706   Fix NFS timeout issue
707
708 * Patch by Yuli Barcohen, 19 Jul 2004:
709   - Fix host tools building in Cygwin environment
710   - Fix header files search order for host tools
711
712 * Patch by Tom Armistead, 19 Jul 2004:
713   Fix kgdb.S support for 74xx_75x cpu
714
715 * Patch by Jon Loeliger, 15 Jul 2004:
716   Fix MPC85xx I2C driver
717
718 * Fix problems with CDROM drive as slave device on Lite5200 IDE bus.
719
720 * Patch by Stephen Williams, 15 July 2004
721   Set the PCI class code for JSE board as part of PCI interface setup
722
723 * Patch by Michael Bendzick, 15 Jul 2004:
724   Fix problem with writes with odd sizes in drivers/cfi_flash.c when
725   CFG_FLASH_USE_BUFFER_WRITE is set
726
727 * Patch by Yuli Barcohen, 13 Jul 2004:
728   Allow clock setting on MPC866/MPC885 series chips according to
729   environment variable `cpuclk'
730
731 * Patch by Yuli Barcohen, 20 Apr 2004:
732   Remove unnecessary redefine of CPM_DATAONLY_SIZE for MPC826x
733
734 * Patch by Vincent Dubey, 24 Sep 2004:
735   Add support for xaeniax board
736
737 * Add comment about non-GPL character of standalone applications to
738   COPYING file
739
740 * Fix FEC ethernet problem on NSCU board.
741
742 * Patch by Gary Jennejohn, 09 Sep 2004:
743   allow to use USART1 as console port on at91rm9200dk boards
744
745 * Patch by Stefan Roese, 16 Sep 2004:
746   Update AR405 board.
747
748 * Fix SysClk handling for PPChameleon and CATcenter boards
749
750 * Patch by Detlev Zundel, 08 Sep 2004:
751   Update etags build target
752
753 * Improve NetConsole support: add support for broadcast destination
754   address and buffered input.
755
756 * Cleanup compiler warnings for GCC 3.3.x and later
757
758 * Fix problem in cmd_jffs2.c introduced by CFG_JFFS_SINGLE_PART patch
759
760 * Add support for IDS "NC650" board
761
762 * Add automatic update support for LWMON board
763
764 * Clear Block Lock-Bits when erasing flash on LWMON board.
765
766 * Fix return code of "fatload" command
767
768 * Enable MSDOS/VFAT filesystem support for LWMON board
769
770 * Patch by Martin Krause, 03 Aug 2004:
771   change timing for SM501 graphics controller on TQM5200 module
772
773 * Patch by Mark Jonas, 13 July 2004:
774   - Total5200 LCD now run in little endian mode. Endianess conversion
775     is done in hardware.
776   - Removed last reference to "console" environment variable.
777
778 * Patches by Lars Munch, 12 Jul 2004:
779   - move at45.c to board/at91rm9200dk/ since this is at91rm9200dk
780     board specific
781   - split out the LXT971A PHY from ns_9750_eth.h
782   - split the dm9161 phy part out of at91rm9200_ether.c
783
784 * Patch by Andreas Engel, 12 Jul 2004:
785   Replaced hardcoded PL011 clock frequency with config variable.
786   Fixed wrong CONFIG_CMD_DFL doc.
787
788 * Patch by Thomas Viehweger, 09 Jun 2004:
789   make it possible to remove chpart when there is only one partition
790
791 * Add support for console over UDP (compatible to Ingo Molnar's
792   netconsole patch under Linux)
793
794 * Patch by Jon Loeliger, 16 Jul 2004:
795   - support larger DDR memories up to 2G on the PC8540/8560ADS and
796     STXGP3 boards
797   - Made MPC8540/8560ADS be 33Mhz PCI by default.
798   - Removed moldy CONFIG_RAM_AS_FLASH, CFG_FLASH_PORT_WIDTH_16
799     and CONFIG_L2_INIT_RAM options.
800   - Refactor Local Bus initialization out of SDRAM setup.
801   - Re-implement new version of LBC11/DDR11 errata workarounds.
802   - Moved board specific PCI init parts out of CPU directory.
803   - Added TLB entry for PCI-1 IO Memory
804   - Updated README.mpc85xxads
805
806 * Patch by Sascha Hauer, 28 Jun:
807   - add generic support for Motorola i.MX architecture
808   - add support for mx1ads, mx1fs2 and scb9328 boards
809
810 * Patches by Marc Leeman, 23 Jul 2004:
811   - Add define for the PCI/Memory Buffer Configuration Register
812   - corrected comments in cpu/mpc824x/cpu_init.c
813
814 * Add support for multiple serial interfaces
815   (for example to allow modem dial-in / dial-out)
816
817 * Patch by Stefan Roese, 15 Jul 2004:
818   cpu/ppc4xx/sdram.c rewritten now using get_ram_size()
819
820 * Fix NSCU config; add ethernet wakeup code.
821
822 * Add link for preloader for Motorola Coldfire to README.m68k
823
824 * Patch by Michael Bendzick, 12 Jul 2004:
825   fix output formatting in drivers/cfi_flash.c
826
827 * Patch by Mark Jonas, 02 Jul 2004:
828   Fix lowboot (again) on MPC5xxx
829
830 * Patch by Curt Brune, 07 Jul 2004:
831   relocate exception vectors on arm720t if needed
832
833 * Patch by George G. Davis, 06 Jul 2004:
834   - update mach-types.h to latest arm.linux.org.uk master list
835   - Set correct OMAP1610 bi_arch_number for build target
836
837 * Patch by Curt Brune, 06 Jul 2004:
838   evb4510: add support for timer interrupt; cleanup
839
840 * Patch by Dan Poirot, 06 Jul 2004:
841   Fix sbc8260 environment variables
842
843 * Cleanup redundand "console" environment variable
844
845 * Patch by Mark Jonas, 05 Jul 2004:
846   add support for the Total5100's and Total5200's LCD screen
847
848 * Patches by Dan Eisenhut, 01 Jul 2004:
849   - README fixes.
850   - Move doc2000.h include to prevent compiler warning on some boards
851
852 * Patch by Mark Jonas, 01 Jul 2004:
853   Added support for Total5100 and Total5200 (Rev.1 and Rev.2)
854   MGT5100 and MPC5200 based Freescale platforms.
855
856 * Patch by Philippe Robin, 01 Jul 2004:
857   Add initialization for Integrator and versatile board files.
858
859 * Patch by Hinko Kocevar, 01 Jun 2004:
860   Fix VFD FB allocation, add LCD FB allocation on ARM
861
862 * Patch by Martin Krause, 30 Jun 2004:
863   Add support for TQM5200 board
864
865 * Patch by Martin Krause, 29 Jun 2004:
866   Add loopw command: infinite write loop on address range
867
868 * Patches by Yasushi Shoji, 29 Jun 2004:
869   - add empty include/asm-microblaze/processor.h
870   - add to CREDITS and MAINTAINERS
871   - add gd initialization
872   - add MicroBlaze and SUZAKU board to MAKEALL script
873   - add reset support for SUZAKU
874   - add flush_cache() for MicroBlaze
875   - add CFG_FLASH_SIZE to include/configs/suzaku.h since we have fixed
876     size flash memory on SUZAKU
877
878 * Patch by Prakash Kumar, 27 Jun 2004:
879   Add support for the PXA250 based Intrinsyc Cerf board.
880
881 * Patch by Yasushi Shoji, 27 Jun 2004:
882   fix comment in include/common.h
883
884 * Rename SBC8560 into sbc8560 for consistency
885
886 * Patch by Daniel Poirot, 24 Jun 2004:
887   Add support for Wind River's sbc8240 board
888
889 * Patches by Yasushi Shoji, 26 Jun 2004:
890   - drivers/serial_xuartlite.c: fix "return 0" in void function
891   - add microblaze support to mkimage tool
892
893 * Patch by Fred Klatt, 25 Jun 2004:
894   Add support for WindRiver's sbc8560 board
895
896 * Patch by Nicolas Lacressonniere, 24 Jun 2004
897   Small Bugs fixes for "at91rm9200dk" board:
898   - Timing modifications for SPI DataFlash access
899   - Fix NAND flash detection bug
900
901 * Patch by Nicolas Lacressonniere, 24 Jun 2004:
902   Add Support for Flash AT49BV6416 for AT91RM9200DK board
903
904 * Patch by Jon Loeliger, 17 June 2004:
905   Completion of the 8540ADS/8560ADS updates:
906   Fix some PCI and Rapid I/O memory maps,
907   Initialize both TSEC 1 and 2,
908   Initialize SDRAM
909   Update MAINTAINER for 85xx boards and README.mpc85xxads
910
911 * Patch by Yuli Barcohen, 16 Jun 2004:
912   Remove obsolete AdderII port which was superseded by unified
913   AdderII/Adder87x port
914
915 * Patch by Ladislav Michl, 16 Jun 2004:
916   Fix gcc-3.3.3 warnings for smc91111.c
917
918 * Patch by Stefan Roese, 02 Jul 2004:
919   - Fix bug in 405 ethernet driver; allocated data not cleared!
920   - Fix problem in 405 i2c driver; don't try to print without console!
921
922 * Patch by Paul Ruhland, 11 Jun 2004:
923   Remove debug code from 'board/lpd7a40x/flash.c'
924
925 * Patch by Andrea Marson, 11 Jun 2004:
926   Update for PPChameleon board:
927   - support for SysClk @ 25MHz
928   - support for Silicon Motion SM712 VGA controller
929   - some clean ups
930
931 * Patches by Richard Woodruff, 10 Jun 2004:
932   - fix problems with examples/stubs.c for GCC >= 3.4
933   - fix problems with gd initialization
934
935 * Patch by Curt Brune, 17 May 2004:
936   - Add support for Samsung S3C4510B CPU (ARM7tdmi based SoC)
937   - Add support for ESPD-Inc. EVB4510 Board
938
939 * Patch by Marc Leeman, 11 May 2004:
940   Fix for MPC8245 - reading PPC Memory from another device with the
941   PPC as PCI target device corrupts data due to interenal hardware
942   buffering.
943
944 * Fix "cls" command when used with splash screen
945
946 * Increase NFS download timeout (now 1 min - 10 sec is to short for a
947   slow download of a big image)
948
949 * Add "cls" function to MPC823 LCD driver so we can reinitialize the
950   display even after showing a bitmap
951
952 * Patch by Josef Wagner, 04 Jun 2004:
953   - DDR Ram support for PM520 (MPC5200)
954   - support for different flash types (PM520)
955   - USB / IDE / CF-Card / DiskOnChip support for PM520
956   - 8 bit boot rom support for PM520/CE520
957   - Add auto SDRAM module detection for MicroSys CPC45 board (MPC8245)
958   - I2C and RTC support for CPC45
959   - support of new flash type (28F160C3T) for CPC45
960
961 * Fix flash parameters passed to Linux for PPChameleon board
962
963 * Remove eth_init() from lib_arm/board.c; it's done in net.net.c.
964
965 * Patch by Paul Ruhland, 10 Jun 2004:
966   fix support for Logic SDK-LH7A404 board and clean up the
967   LH7A404 register macros.
968
969 * Patch by Matthew McClintock, 10 Jun 2004:
970   Modify code to select correct serial clock on Sandpoint8245
971
972 * Patch by Robert Schwebel, 10 Jun 2004:
973   Add support for Intel K3 strata flash.
974
975 * Patch by Thomas Brand, 10 Jun 2004:
976   Fix "loads" command on DK1S10 board
977
978 * Patch by Yuli Barcohen, 09 Jun 2004:
979   Add support for 8MB flash SIMM and JFFS2 file system on
980   Motorola FADS board and its derivatives (MPC86xADS, MPC885ADS).
981
982 * Patch by Yuli Barcohen, 09 Jun 2004:
983   Add support for Analogue&Micro Adder87x and the older AdderII board.
984
985 * Patch by Ming-Len Wu, 09 Jun 2004:
986   Add suppport for MC9328 (Dargonball) CPU and Motorola MX1ADS board
987
988 * Patch by Sam Song, 09 Jun 2004:
989   - Add support for RPXlite_DW board
990   - Update FLASH driver for 4*AM29DL323DB90VI
991   - Add option configuration of CFG_ENV_IS_IN_NVRAM on RPXlite_DW board
992
993 * Patch by Mark Jonas, 08 June 2004:
994   - Make MPC5200 boards evaluate the SVR to print processor name and
995     version in checkcpu() (cpu/mpc5xxx/cpu.c).
996
997 * Patch by Kai-Uwe Bloem, 06 May 2004:
998   Fix endianess problem in cramfs code
999
1000 * Patch by Tom Armistead, 04 Jun 2004:
1001   Add support for MAX6900 RTC
1002
1003 * Patches by Ladislav Michl, 03 Jun 2004:
1004   - fix cfi_flash.c on LE systems
1005   - let 'make mrproper' delete u-boot.img as well
1006   - turn printf into debug in cfi_flash.c
1007
1008 * Patch by Kurt Stremerch, 28 May 2004:
1009   Add support for Exys XSEngine board
1010
1011 * Patch by Martin Krause, 27 May 2004:
1012   Fix a MPC5xxx I2C timing issue in i2c_probe().
1013
1014 * Patch by Leif Lindholm, 27 May 2004:
1015   Fix board_init_f() for dbau1x00 board.
1016
1017 * Patch by Imre Deak, 26 May 2004:
1018   On OMAP1610 platforms check if booting from RAM(CS0) or flash(CS3).
1019   Set flash base accordingly, and decide whether to do or skip board
1020   specific setup steps.
1021
1022 * Patch by Josef Baumgartner, 26 May 2004:
1023   Add missing define in include/asm-m68k/global_data.h
1024
1025 * Patch by Josef Baumgartner, 25 May 2004:
1026   Add missing functions get_ticks() and get_tbclk() in lib_m68k/time.c
1027
1028 * Patch by Paul Ruhland, 24 May 2004:
1029   fix SDRAM initialization for LPD7A400 board.
1030
1031 * Patch by Jian Zhang, 20 May 2004:
1032   add support for environment in NAND flash
1033
1034 * Patch by Yuli Barcohen, 20 May 2004:
1035   Add support for Interphase iSPAN boards.
1036
1037 * Patches by Paul Ruhland, 17 May 2004:
1038   - Add I/O functions to the smc91111 ethernet driver to support the
1039     Logic LPD7A40x boards.
1040   - Add support for the Logic Zoom LH7A40x based SDK board(s),
1041     specifically the LPD7A400.
1042
1043 * Patches by Robert Schwebel, 15 May 2004:
1044   - call MAC address reading code also for SMSC91C111;
1045   - make SMSC91C111 timeout configurable, remove duplicate code
1046   - fix get_timer() for PXA
1047   - update doc/README.JFFS2
1048   - use "bootfile" env variable also for jffs2
1049
1050 * Patch by Tolunay Orkun, 14 May 2004:
1051   Add support for Cogent CSB472 board (8MB Flash Rev)
1052
1053 * Patch by Thomas Viehweger, 14 May 2004:
1054   - flash.h: more flash types added
1055   - immap_8260.h: some bits added (useful for RMII)
1056   - cmd_coninfo.c: typo corrected, printf -> puts
1057   - reduced size by replacing spaces with tab
1058
1059 * Patch by Robert Schwebel, 13 May 2004:
1060   Add 'imgextract' command: extract one part of a multi file image.
1061
1062 * Patches by Jon Loeliger, 11 May 2004:
1063   Dynamically handle REV1 and REV2 MPC85xx parts.
1064     (Jon Loeliger, 10-May-2004).
1065   New consistent memory map and Local Access Window across MPC85xx line.
1066   New CCSRBAR at 0xE000_0000 now.
1067   Add RAPID I/O memory map.
1068   New memory map in README.MPC85xxads
1069     (Kumar Gala, 10-May-2004)
1070   Better board and CPU identification on MPC85xx boards at boot.
1071     (Jon Loeliger, 10-May-2004)
1072   SDRAM clock control fixes on MPC8540ADS & MPC8560 boards.
1073   Some configuration options for MPC8540ADS & MPC8560ADS cleaned up.
1074     (Jim Robertson, 10-May-2004)
1075   Rewrite of the MPC85xx Three Speed Ethernet Controller (TSEC) driver.
1076   Supports multiple PHYs.
1077     (Andy Fleming, 10-May-2004)
1078   Some README.MPC85xxads updates.
1079     (Kumar Gala, 10-May-2004)
1080   Copyright updates for "Freescale"
1081     (Andy Fleming, 10-May-2004)
1082
1083 * Patch by Stephen Williams, 11 May 2004:
1084   Add flash support for ST M29W040B
1085   Reduce JSE specific flash.c to remove dead code.
1086
1087 * Patch by Markus Pietrek, 04 May 2004:
1088   Fix clear_bss code for ARM systems (all except s3c44b0 which
1089   doesn't clear BSS at all?)
1090
1091 * Fix "ping" problem on INC-IP board. Strange problem:
1092   Sometimes the store word instruction hangs while writing to one of
1093   the Switch registers, but only if the next instruction is 16-byte
1094   aligned. Moving the instruction into a separate function somehow
1095   makes the problem go away.
1096
1097 * Patch by Rishi Bhattacharya, 08 May 2004:
1098   Add support for TI OMAP5912 OSK Board
1099
1100 * Patch by Sam Song May, 07 May 2004:
1101   Fix typo of UPM table for rmu board
1102
1103 * Patch by Pantelis Antoniou, 05 May 2004:
1104   - Intracom board update.
1105   - Add Codec POST.
1106
1107 * Add support for the second Ethernet interface for the 'PPChameleon'
1108   board.
1109
1110 * Patch by Dave Peverley, 30 Apr 2004:
1111   Add support for OMAP730 Perseus2 Development board
1112
1113 * Patch by Alan J. Luse, 29 Apr 2004:
1114   Fix flash chip-select (OR0) option register setting on FADS boards.
1115
1116 * Patch by Alan J. Luse, 29 Apr 2004:
1117   Report MII network speed and duplex setting properly when
1118   auto-negotiate is not enabled.
1119
1120 * Patch by Jarrett Redd, 29 Apr 2004:
1121   Fix hang on reset on Ocotea board due to flash in wrong mode.
1122
1123 * Patch by Dave Peverley, 29 Apr 2004:
1124   add MAC address detection to smc91111 driver
1125
1126 * Patch by David Müller, 28 Apr 2004:
1127   fix typo in lib_arm/board.c
1128
1129 * Patch by Tolunay Orkun, 20 Apr 2004:
1130   - README update: add CONFIG_CSB272 and csb272_config
1131   - add descriptions for some MII/PHY options, CONFIG_I2CFAST, and
1132     i2cfast environment variable
1133
1134 * Patch by Yuli Barcohen, 19 Apr 2004:
1135   - Rename DUET_ADS to MPC885ADS
1136   - Rename CONFIG_DUET to CONFIG_MPC885_FAMILY
1137   - Rename CONFIG_866_et_al to CONFIG_MPC866_FAMILY
1138   - Clean up FADS family port to use the new defines
1139
1140 * Fix PCI support on CPC45 board
1141
1142 * Patch by Scott McNutt, 25 Apr 2004:
1143   Add Nios GDB/JTAG Console support:
1144   - Add stubs to support gdb via JTAG.
1145   - Add support for console over JTAG.
1146   - Minor cleanup.
1147
1148 * Add support for CATcenter board (based on PPChameleon ME module)
1149
1150 * Patch by Klaus Heydeck, 12 May 2004:
1151   Using external watchdog for KUP4 boards in mpc8xx/cpu.c;
1152   load_sernum_ethaddr() for KUP4 boards in lib_ppc/board.c;
1153   various changes to KUP4 board specific files
1154
1155 * Fix minor network problem on MPC5200: need some delay between
1156   resetting the PHY and sending the first packet. Implemented in a
1157   "natural" way by invoking the PHY reset and initialization code
1158   only once after power on vs. each time the interface is brought up.
1159
1160 * Add some limited support for low-speed devices to SL811 USB controller
1161   (at least "usb reset" now passes successfully and "usb info" displays
1162   correct information)
1163
1164 * Change init sequence for multiple network interfaces: initialize
1165   on-chip interfaces before external cards.
1166
1167 * Fix memory leak in the NAND-specific JFFS2 code
1168
1169 * Fix SL811 USB controller when attached to a USB hub
1170
1171 * Fix config option spelling in PM520 config file
1172
1173 * Fix PHY discovery problem in cpu/mpc8xx/fec.c (introduced by
1174   patches by Pantelis Antoniou, 30 Mar 2004)
1175
1176 * Fix minor NAND JFFS2 related issue
1177
1178 * Fixes for SL811 USB controller:
1179   - implement workaround for broken memory stick
1180   - improve error handling
1181
1182 * Increase packet send timeout to 1 ms in cpu/mpc8xx/scc.c to better
1183   cope with congested networks.
1184
1185 ======================================================================
1186 Changes for U-Boot 1.1.1:
1187 ======================================================================
1188
1189 * Patch by Travis Sawyer, 23 Apr 2004:
1190   Fix VSC/CIS 8201 phy descrambler interoperability timing due to
1191   errata from Vitesse Semiconductor.
1192
1193 * Patch by Philippe Robin, 22 Apr 2004:
1194   Fix ethernet configuration for "versatile" board
1195
1196 * Patch by Kshitij Gupta, 21 Apr 2004:
1197   Remove busy loop and use MPU timer fr usleep() on OMAP1510/1610 boards
1198
1199 * Patch by Steven Scholz, 24 Feb 2004:
1200   Fix a bug in AT91RM9200 ethernet driver:
1201   The MII interface is now initialized before accessing the PHY.
1202
1203 * Patch by John Kerl, 19 Apr 2004:
1204   Use U-boot's miiphy.h for PHY register names, rather than
1205   introducing a new header file.
1206
1207 * Update pci_ids.h from linux-2.4.26
1208
1209 * Patch by Masami Komiya, 19 Apr 2004:
1210   Fix problem cause by VLAN function on little endian architecture
1211   without VLAN environment
1212
1213 * Clean up the TQM8xx_YYMHz configurations; allow to use the same
1214   binary image for all clock frequencies. Implement run-time
1215   optimization of flash access timing based on the actual bus
1216   frequency.
1217
1218 * Modify KUP4X board configuration to use SL811 driver for USB memory
1219   sticks (including FAT / VFAT filesystem support)
1220
1221 * Add SL811 Host Controller Interface driver for USB
1222
1223 * Add CFG_I2C_EEPROM_ADDR_OVERFLOW desription to README
1224
1225 * Patch by Pantelis Antoniou, 19 Apr 2004:
1226   Allow to use shell style syntax (i. e. ${var} ) with standard parser.
1227   Minor patches for Intracom boards.
1228
1229 * Patch by Christian Pell, 19 Apr 2004:
1230   cleanup support for CF/IDE on PCMCIA for PXA25X
1231
1232 * Temporarily disabled John Kerl's extended MII command code because
1233   "miivals.h" is missing
1234
1235 * Patches by Mark Jonas, 13 Apr 2004:
1236   - Remove CS0 chip select timing setting from cpu/mpc5xxx/start.S
1237   - Add sync instructions to IceCube SDRAM init code
1238   - Move SDRAM chip constants into seperate include files
1239   - Unify DDR and SDR initialization code
1240   - Unify all IceCube (Lite5xxx) target names
1241
1242 * Patch by John Kerl, 16 Apr 2004:
1243   Enable ranges in mii command, e.g. mii read 0-1f 0 or
1244   mii read 4-7 18-1a.  Also add mii dump subcommand for
1245   pretty-printing standard regs 0-5.
1246
1247 * Patch by  Stephen Williams, 16 April 2004:
1248   fix typo in JSE.h; update MAINTAINERS
1249
1250 * Patch by Matthew S. McClintock, 14 Apr 2004:
1251   fix initdram function for utx8245 board
1252
1253 * Patch by Markus Pietrek, 14 Apr 2004:
1254   use ATAG_INITRD2 instead of deprecated ATAG_INITRD tag
1255
1256 * Patch by Reinhard Meyer, 18 Apr 2004:
1257   provide the IDE Reset Function for EMK 5200 boards
1258
1259 * Patch by Masami Komiya, 12 Apr 2004:
1260   fix pci_hose_write_config_{byte,word}_via_dword problems
1261
1262 * Patch by Sangmoon Kim, 12 Apr 2004:
1263   Update max RAM size for debris board
1264
1265 * Patch by Travis Sawyer, 08 Apr 2004:
1266   Add TLB entry for second DIMM slot on ocotea
1267
1268 * Patch by Masami Komiya, 08 Apr 2004:
1269   add RTL8169 network driver
1270
1271 * Patch by Dan Malek, 07 Apr 2004:
1272   - Add support for RPC/STx GP3, Motorola 8560 board
1273   - Update 85xx TSEC driver so it searches MII for first available PHY
1274     and uses that one.
1275   - Add functions to support console MII commands.
1276
1277 * Patch by Tolunay Orkun, 07 Apr 2004:
1278   Move initialization of bi_iic_fast[]
1279   from board_init_f() to board_init_r()
1280
1281 * Patch by Yasushi Shoji, 07 Apr 2004:
1282   Cleanup microblaze port
1283
1284 * Patch by Sangmoon Kim, 07 Apr 2004:
1285   Add auto SDRAM module detection for Debris board
1286
1287 * Patch by Rune Torgersen, 06 Apr 2004:
1288   - Fix some PCI problems on the MPC8266ADS board
1289   - Fix the location of some PCI entries in the immap structure
1290
1291 * Patch by Yasushi Shoji, 07 Apr 2004:
1292   - add support for microblaze processors
1293   - add support for AtmarkTechno "suzaku" board
1294
1295 * Configure PPChameleon board to use redundand environment in flash
1296
1297 * Configure PPChameleon board to use JFFS2 NAND support.
1298
1299 * Added support for JFFS2 filesystem (read-only) on top of NAND flash
1300
1301 * Patch by Rune Torgersen, 16 Apr 2004:
1302   LBA48 fixes
1303
1304 * Patches by Pantelis Antoniou, 16 Apr 2004:
1305   - add support for a new version of an Intracom board and fix
1306     various other things on others.
1307   - add verify support to the crc32 command (define
1308     CONFIG_CRC32_VERIFY to enable it)
1309   - fix FEC driver for MPC8xx systems:
1310     1. fix compilation problems for boards that use dynamic
1311        allocation of DPRAM
1312     2. shut down FEC after network transfers
1313   - HUSH parser fixes:
1314     1. A new test command was added. This is a simplified version of
1315        the one in the bourne shell.
1316     2. A new exit command was added which terminates the current
1317        executing script.
1318     3. Fixed handing of $? (exit code of last executed command)
1319   - Fix some compile problems;
1320     add "once" functionality for the netretry variable
1321
1322 * Patch by George G. Davis, 02 Apr 2004:
1323   add support for Intel Assabet board
1324
1325 * Patch by Stephen Williams, 01 Apr 2004:
1326   Add support for Picture Elements JSE board
1327
1328 * Patch by Christian Pell, 01 Apr 2004:
1329   Add CompactFlash support for PXA systems.
1330
1331 * Patches by Pantelis Antoniou, 30 Mar 2004:
1332   - add auto-complete support to the U-Boot CLI
1333   - add support for NETTA and NETPHONE boards; fix NETVIA board
1334   - add support for the Epson 156x series of graphical displays
1335     (These displays are serial and not suitable for using a normal
1336     framebuffer console on them)
1337   - add infrastructure needed in order to POST any DSPs in a board
1338   - improve and fix various things in the MPC8xx FEC driver:
1339     1. The new 87x and 88x series of processors have two FECs,
1340        and the new driver supports them both.
1341     2. Another change in the 87x/88x series is support for
1342        the RMII (Reduced MII) interface. However numerous
1343        changes are needed to make it work since the PHYs
1344        are connected to the same lines. That means that
1345        you have to address them correctly over the MII
1346        interface.
1347     3. We now correctly match the MII/RMII interface
1348        configuration to what the PHY reports.
1349   - Fix problem when readingthe MII status register. Due to the
1350     internal design of many PHYs you have to read the register
1351     twice. The problem is more apparent in 10Mbit mode.
1352   - add new mode ".jffs2s" for reading from a NAND device: it just
1353     skips over bad blocks.
1354   - add networking support for VLANs (802.1q), and CDP (Cisco
1355     Discovery Protocol)
1356   - some minor patches / cleanup
1357
1358 * Patch by Yuli Barcohen, 28 Mar 2004:
1359   - Add support for MPC8272 family including MPC8247/8248/8271/8272
1360   - Add support for MPC8272ADS evaluation board (another flavour of MPC8260ADS)
1361   - Change configuration method for MPC8260ADS family
1362
1363 * add startup code to clear the BSS of standalone applications
1364
1365 * Fix if / elif handling bug in HUSH shell
1366
1367 ======================================================================
1368 Changes for U-Boot 1.1.0:
1369 ======================================================================
1370
1371 * Patch by Mark Jonas: Remove config.tmp files only when
1372   unconfiguring the board
1373
1374 * Adapt RMU board for bigger flash memory
1375
1376 * Patch by Klaus Heydeck, 13 Mar 2003:
1377   Add support for KUP4X Board
1378
1379 * Patch by Pavel Bartusek, 21 Mar 2004
1380   Add Reiserfs support
1381
1382 * Patch by Hinko Kocevar, 20 Mar 2004
1383   - Add auto-release for SMSC LAN91c111 driver
1384   - Add save/restore of PTR and PNR regs as suggested in datasheet
1385
1386 * Patch by Stephen Williams, 19 March 2004
1387   Increase speed of sector reads from SystemACE,
1388   shorten poll timeout and remove a useless reset
1389
1390 * Patch by Tolunay Orkun, 19 Mar 2004:
1391   Make GigE PHY 1000Mbps Speed/Duplex detection conditional
1392   (CONFIG_PHY_GIGE)
1393
1394 * Patch by Brad Kemp, 18 Mar 2004:
1395   prevent machine checks during a PCI scan
1396
1397 * Patch by Pierre Aubert, 18 Mar 2004:
1398   Fix string cleaning in IDE identification
1399
1400 * Patch by Pierre Aubert, 18 Mar 2004:
1401   - Unify video mode handling for Chips & Technologies 69000 Video
1402     chip and Silicon Motion SMI 712/710/810 Video chip
1403   - Add selection of the video output (CRT or LCD) via 'videoout'
1404     environment variable for the Silicon Motion
1405   - README update
1406
1407 * Patch by Pierre Aubert, 18 Mar 2004:
1408   include/common.h typo fix
1409
1410 * Patches by Tolunay Orkun, 17 Mar 2004:
1411   - Add support for bd->bi_iic_fast[] initialization via environment
1412     variable "i2cfast" (CONFIG_I2CFAST)
1413   - Add "i2cfast" u-boot environment variable support for csb272
1414
1415 * Patch by Carl Riechers, 17 Mar 2004:
1416   Ignore '\0' characters in console input for use with telnet and
1417   telco pads.
1418
1419 * Patch by Leon Kukovec, 17 Mar 2004:
1420   typo fix for strswab prototype #ifdef
1421
1422 * Patches by Thomas Viehweger, 16 Mar 2004:
1423   - show PCI clock frequency on MPC8260 systems
1424   - add FCC_PSMR_RMII flag for HiP7 processors
1425   - in do_jffs2_fsload(), take load address from load_addr if not set
1426     explicit, update load_addr otherwise
1427   - replaced printf by putc/puts when no formatting is needed
1428     (smaller code size, faster execution)
1429
1430 * Patch by Phillippe Robin, 16 Mar 2004:
1431   avoid dereferencing NULL pointer in lib_arm/armlinux.c
1432
1433 * Patch by Stephen Williams, 15 Mar 2004:
1434   Fix CONFIG_SERIAL_SOFTWARE_FIFO documentation
1435
1436 * Patch by Tolunay Orkun, 15 Mar 2004:
1437   Initialize bi_opbfreq to real OPB frequency via get_OPB_freq()
1438
1439 * Patch by Travis Sawyer, 15 Mar 2004:
1440   Update CREDITS & MAINTAINERS files for PPC440GX & Ocotea port
1441
1442 * Add start-up delay to make sure power has stabilized before
1443   attempting to switch on USB on SX1 board.
1444
1445 * Patch by Josef Wagner, 18 Mar 2004:
1446   - Add support for MicroSys XM250 board (PXA255)
1447   - Add support for MicroSys PM828 board (MPC8280)
1448   - Add support for 32 MB Flash on PM825/826
1449   - new SDRAM refresh rate for PM825/PM826
1450   - added support for MicroSys PM520 (MPC5200)
1451   - replaced Query by Identify command in CPU86/flash.c
1452     to support 28F160F3B
1453
1454 * Fix wrap around problem with udelay() on ARM920T
1455
1456 * Add support for Macronix flash on TRAB board
1457
1458 * Patch by Pierre Aubert, 15 Mar 2004:
1459   Fix buffer overflow in IDE identification
1460
1461 * Fix power-off of LCD for out-of-band temperatures on LWMON board
1462
1463 * Remove redundand #define in IceCube.h
1464
1465 * Patch by Steven Scholz, 27 Feb 2004:
1466   - Adding get_ticks() and get_tbclk() for AT91RM9200
1467   - Many white space fixes in cpu/at91rm9200/interrupts.c
1468
1469 * Patches by Steven Scholz, 20 Feb 2004:
1470   some cleanup in AT91RM9200 related code
1471
1472 * Patches by Travis Sawyer, 12 Mar 2004:
1473   - Fix Gigabit Ethernet support for 440GX
1474   - Add Gigabit Ethernet Support to MII PHY utilities
1475
1476 * Patch by Brad Kemp, 12 Mar 2004:
1477   Fixes for drivers/cfi_flash.c:
1478   - Better support for x8/x16 implementations
1479   - Added failure for AMD chips attempting to use CFG_FLASH_USE_BUFFER_WRITE
1480   - Added defines for AMD command and address constants
1481
1482 * Patch by Leon Kukovec, 12 Mar 2004:
1483   Fix get_dentfromdir() to correctly handle deleted dentries
1484
1485 * Patch by George G. Davis, 11 Mar 2004:
1486   Remove hard coded network settings in TI OMAP1610 H2
1487   default board config
1488
1489 * Patch by George G. Davis, 11 Mar 2004:
1490   add support for ADS GraphicsClient+ board.
1491
1492 * Patch by Pierre Aubert, 11 Mar 2004:
1493   - add bitmap command and splash screen support in cfb console
1494   - add [optional] origin in the bitmap display command
1495
1496 * Patch by Travis Sawyer, 11 Mar 2004:
1497   Fix ocotea board early init interrupt setup.
1498
1499 * Patch by Thomas Viehweger, 11 Mar 2004:
1500   Remove redundand code; add  PCI-specific bits to include/mpc8260.h
1501
1502 * Patch by Stephan Linz, 09 Mar 2004
1503   - Add support for the SSV ADNP/ESC1 (Nios Softcore)
1504
1505 * Patch by George G. Davis, 9 Mar 2004:
1506   fix recent build failure for SA1100 target
1507
1508 * Patch by Travis Sawyer, 09 Mar 2004:
1509   Support native interrupt mode for the IBM440GX.
1510   Previously it was running in 440GP compatibility mode.
1511
1512 * Patch by Philippe Robin, 09 Mar 2004:
1513   Added ARM Integrator AP, CP and Versatile PB926EJ-S Reference
1514   Platform support.
1515
1516 * Patch by Masami Komiya, 08 Mar 2004:
1517   Don't overwrite server IP address or boot file name
1518   when the boot server does not return values
1519
1520 * Patch by Tolunay Orkun, 5 Mar 2004:
1521   Removed compile time restriction on CFG_I2C_SPEED for DS1338 RTC
1522
1523 * Patch by Tolunay Orkun, 5 Mar 2004:
1524   Fix early board initialization for Cogent CSB272 board
1525
1526 * Patch by Ed Okerson, 3 Mar 2004:
1527   fix CFI flash writes for little endian systems
1528
1529 * Patch by Reinhard Meyer, 01 Mar 2004:
1530   generalize USB and IDE support for MPC5200 with according
1531   changes to IceCube.h and TOP5200.h
1532   add Am29LV256 256 MBit FLASH support for TOP5200 boards
1533   add info about USB and IDE to README
1534
1535 * Patch by Yuli Barcohen, 4 Mar 2004:
1536   Fix problems with GCC 3.3.x which changed handling of global
1537   variables explicitly initialized to zero (now in .bss instead of
1538   .data as before).
1539
1540 * Patch by Leon Kukovec, 02 Mar 2004:
1541   add strswab() to fix IDE LBA capacity, firmware and model numbers
1542   on little endian machines
1543
1544 * Patch by Masami Komiya, 02 Mar 2004:
1545   - Remove get_ticks() from NFS code
1546   - Add verification of RPC transaction ID
1547
1548 * Patch by Pierre Aubert, 02 Mar 2004:
1549   cleanup for IDE and USB drivers for MPC5200
1550
1551 * Patch by Travis Sawyer, 01 Mar 2004:
1552   Ocotea:
1553   - Add IBM PPC440GX Ref Platform support (Ocotea)
1554     Original code by Paul Reynolds <PaulReynolds@lhsolutions.com>
1555     Adapted to U-Boot and 440GX port
1556   440gx_enet.c:
1557   - Add gracious handling of all Ethernet Pin Selections for 440GX
1558   - Add RGMII selection for Cicada CIS8201 Gigabit PHY
1559   ppc440.h:
1560   - Add needed bit definitions
1561   - Fix formatting
1562
1563 * Patch by Carl Riechers, 1 Mar 2004:
1564   Add PPC440GX prbdv0 divider to fix memory clock calculation.
1565
1566 * Patch by Stephan Linz, 27 Feb 2004
1567   - avoid problems for targets without NFS download support
1568
1569 * Patch by Rune Torgersen, 27 Feb 2004:
1570   - Added LBA48 support (CONFIG_LBA48 & CFG_64BIT_LBA)
1571   - Added support for 64bit printing in vsprintf (CFG_64BIT_VSPRINTF)
1572   - Added support for 64bit strtoul (CFG_64BIT_STRTOUL)
1573
1574 * Patch by Masami Komiya, 27 Feb 2004:
1575   Fix rarpboot: add autoload by NFS
1576
1577 * Patch by Dan Eisenhut, 26 Feb 2004:
1578   fix flash_write return value in saveenv
1579
1580 * Patch by Stephan Linz, 11 Dec 2003
1581   expand config.mk to avoid trigraph warnings on NIOS
1582
1583 * Rename "BMS2003" board into "HMI10"
1584
1585 * SX1 patches: use "serial#" for USB serial #; use redundand environment
1586   storage; auto-set console on USB port (using preboot command)
1587
1588 * Add support for SX1 mobile phone; add support for USB-based console
1589   (enable with "setenv stdout usbtty; setenv stdin usbtty")
1590
1591 * Fix LOWBOOT configuration for MPC5200 with DDR memory
1592
1593 * Fix SDRAM timings for LITE5200 / IceCube board
1594
1595 * Handle Auti-MDIX / connection status for INCA-IP
1596
1597 * Fix USB problems when attempting to read 0 bytes
1598
1599 * Patch by Travis Sawyer, 26 Feb 2004:
1600   Fix broken compile for XPEDITE1K target.
1601
1602 * Patch by Stephan Linz, 26 Feb 2004:
1603   Bug fix for NFS code on NIOS targets
1604
1605 * Patch by Stephen Williams, 26 Feb 2004:
1606   Break up SystemACE reads of large block counts
1607
1608 * Patch by Pierre Aubert, 26 Feb 2004
1609   add IDE support for MPC5200
1610
1611 * Patch by Masami Komiya, 26 Feb 2004:
1612   add autoload via NFS
1613
1614 * Patch by Stephen Williams
1615   Use of CONFIG_SERIAL_SOFTWARE_FIFO in board.c consistent with uses
1616   elsewhere in the source.
1617
1618 * Patch by Steven Scholz, 25 Feb 2004:
1619   - Timeouts in FPGA code should be based on CFG_HZ
1620   - Minor cleanup in code for Altera FPGA ACEX1K
1621
1622 * Patch by Steven Scholz, 25 Feb 2004:
1623   Changed "Directory Hierarchy" section in README
1624
1625 * Patch by Masami Komiya, 25 Feb 2004:
1626   Reduce copy count in nfs_read_reply() of NFS code
1627
1628 * Patch by Markus Pietrek, 24 Feb 2004:
1629   NS9750 DevBoard added
1630
1631 * Patch by Pierre Aubert, 24 Feb 2004
1632   add USB support for MPC5200
1633
1634 * Patch by Steven Scholz, 24 Feb 2004:
1635   - fix MII commands to use values from last command
1636
1637 * Patch by Torsten Demke, 24 Feb 2004:
1638   Add support for the eXalion platform (SPSW-8240, F-30, F-300)
1639
1640 * Patch by Rahul Shanbhag, 19 Feb 2004:
1641   Fixes for for OMAP1610 board:
1642   - shift some IRQ specific code to platform.S file
1643   - remove duplicatewatchdog reset code from start.S
1644
1645 * Make Auto-MDIX Support configurable on INCA-IP board
1646
1647 * Fix license for mkimage tool
1648
1649 * Patch by Masami Komiya, 24 Feb 2004:
1650   Update NetBootFileXferSize in NFS code
1651
1652 * Patch by Scott McNutt, 24 Feb 2004:
1653   fix packet length in NFS code
1654
1655 * Patch by Masami Komiy, 22 Feb 2004:
1656   Add support for NFS for file download
1657
1658 * Patch by Andrea Scian, 17 Feb 2004:
1659   Add support for S3C44B0 processor and DAVE B2 board
1660
1661 * Patch by Steven Scholz, 20 Feb 2004:
1662   - Add support for MII commands on AT91RM9200 boards
1663   - some cleanup in AT91RM9200 ethernet code
1664
1665 * Patch by Peter Ryser, 20 Feb 2004:
1666   Add support for the Xilinx ML300 platform
1667
1668 * Patch by Stephan Linz, 17 Feb 2004:
1669   Fix watchdog support for NIOS
1670
1671 * Patch by Josh Fryman, 16 Feb 2004:
1672   Fix byte-swapping for cfi_flash.c for different bus widths
1673
1674 * Patch by Jon Diekema, 14 Jeb 2004:
1675   Remove duplicate "FPGA Support" notes from the README file
1676
1677 * Patches by Reinhard Meyer, 14 Feb 2004:
1678   - update board/emk tree; use common flash driver
1679   - Corrected tested bits in machine check exception in cpu/mpc5xxx/traps.c
1680     [adapted for other PPC CPUs -- wd]
1681   - Added support for the M48T08 on the EVAL5200 board in rtc/mk48t59.c
1682
1683 * Patch by Jon Diekema, 13 Feb 2004:
1684   Call show_boot_progress() whenever POST "FAILED" is printed.
1685
1686 * Patch by Nishant Kamat, 13 Feb 2004:
1687   Add support for TI OMAP1610 H2 Board
1688   Fixes for cpu/arm926ejs/interrupt.c
1689         (based on Richard Woodruff's patch for arm925, 16 Oct 03)
1690   Fix for a timer bug in OMAP1610 Innovator
1691   Add support for CS0 (ROM)/CS3 (Flash) boot in OMAP1610 Innovator and H2
1692
1693 * Patches by Stephan Linz, 12 Feb 2004:
1694   - add support for NIOS timer with variable period preload counter value
1695   - prepare POST framework support for NIOS targets
1696
1697 * Patch by Denis Peter, 11 Feb 2004:
1698   add POST support for the MIP405 board
1699
1700 * Patch by Laurent Mohin, 10 Feb 2004:
1701   Fix buffer overflow in common/usb.c
1702
1703 * Patch by Tolunay Orkun, 10 Feb 2004:
1704   Add support for Cogent CSB272 board
1705
1706 * Patch by Thomas Elste, 10 Feb 2004:
1707   Add support for NET+50 CPU and ModNET50 board
1708
1709 * Patch by Sam Song, 10 Feb 2004:
1710   Fix typos in cfi_flash.c
1711
1712 * Patch by Leon Kukovec, 10 Feb 2004
1713   Fixed long dir entry slot id calculation in get_vfatname
1714
1715 * Patch by Robin Gilks, 10 Feb 2004:
1716   add "itest" command (operators: -eq, -ne, -lt, -gt, -le, -ge, ==,
1717   !=, <>, <, >, <=, >=)
1718
1719 * Fix problem with side effects in macros in include/usb.h
1720
1721 * Patch by David Benson, 13 Nov 2003:
1722   bug 841358 - fix TFTP download size limit
1723
1724 * Fixing bug 850768:
1725   improper flush_cache() in load_serial()
1726
1727 * Fixing bug 834943:
1728   MPC8540 - missing volatile declarations
1729
1730 * Patch by Stephen Williams, 09 Feb 2004:
1731   Add support for Xilinx SystemACE chip:
1732   - New files common/cmd_ace.c and include/systemace.h
1733   - Hook systemace support into cmd_fat and the partition manager
1734
1735 * Patch by Travis Sawyer, 09 Feb 2004:
1736   Add bi_opbfreq & bi_iic_fast to 440GX bd_info as needed for Linux
1737
1738 * Patch by Travis Sawyer, 09 Feb 2004:
1739   o 440GX:
1740     - Fix PCI Indirect access for type 1 config cycles with ppc440.
1741     - Add phymode for 440 enet
1742     - fix pci pre init
1743   o XPedite1K:
1744     - Change board_pre_init to board_early_init_f
1745     - Add user flash to bus controller setup
1746     - Fix pci pre init
1747     - Fix is_pci_host to check GPIO for monarch bit
1748     - Force xpedite1k to pci conventional mode (via #define option)
1749
1750 * Patch by Brad Kemp, 4 Feb 2004:
1751   - handle the machine check that is generated during the PCI scans
1752     on 82xx processors.
1753   - define the registers used in the IMMR by the PCI subsystem.
1754
1755 * Patch by Pierre Aubert, 03 Feb 2004:
1756   cpu/mpc5xxx/start.S: copy MBAR into SPR311
1757
1758 * Patch by Jeff Angielski, 03 Feb 2004:
1759   Fix copy & paste error in cpu/mpc8260/pci.c
1760
1761 * Patch by Reinhard Meyer, 24 Jan 2004:
1762   Fix typo in cpu/mpc5xxx/pci_mpc5200.c
1763
1764 * Add Auto-MDIX support for INCA-IP
1765
1766 * Some code cleanup
1767
1768 * Patch by Josef Baumgartner, 10 Feb 2004:
1769   Fixes for Coldfire port
1770
1771 * Patch by Brad Kemp, 11 Feb 2004:
1772   Fix CFI flash driver problems
1773
1774 * Make sure to use a bus clock divider of 2 only when running TQM8xxM
1775   modules at CPU clock frequencies above 66 MHz.
1776
1777 * Optimize flash programming speed for LWMON (by another 100% :-)
1778
1779 * Patch by Jian Zhang, 3 Feb 2004:
1780   - Changed the incorrect FAT12BUFSIZE
1781   - data_begin in fsdata can be negative. Changed it to be short.
1782
1783 * Patches by Stephan Linz, 30 Jan 2004:
1784   1: - board/altera/common/flash.c:flash_erase():
1785        o allow interrupts befor get_timer() call
1786        o check-up each erased sector and avoid unexpected timeouts
1787      - board/altera/dk1c20/dk1s10.c:board_early_init_f():
1788        o enclose sevenseg_set() in cpp condition
1789      - remove the ASMI configuration for DK1S10_standard_32 (never present)
1790      - fix some typed in mistakes in the NIOS documentation
1791   2: - split DK1C20 configuration into several header files:
1792        o two new files for each NIOS CPU description
1793        o U-Boot related part is remaining in DK1C20.h
1794   3: - split DK1S10 configuration into several header files:
1795        o two new files for each NIOS CPU description
1796        o U-Boot related part is remaining in DK1S10.h
1797   4: - Add support for the Microtronix Linux Development Kit
1798        NIOS CPU configuration at the Altera Nios Development Kit,
1799        Stratix Edition (DK-1S10)
1800   5: - Add documentation for the Altera Nios Development Kit,
1801        Stratix Edition (DK-1S10)
1802   6: - Add support for the Nios Serial Peripharel Interface (SPI)
1803        (master only)
1804   7: - Add support for the common U-Boot SPI framework at
1805        RTC driver DS1306
1806
1807 * Patch by Rahul Shanbhag, 28 Jan 2004:
1808   Fix flash protection/locking handling for OMAP1610 innovator board.
1809
1810 * Patch by Rolf Peukert, 28 Jan 2004:
1811   fix flash write problems on CSB226 board (write with 32 bit bus width)
1812
1813 * Patches by Mark Jonas, 16 Jan 2004:
1814   - fix rounding error when calculating baudrates for MPC5200 PSCs
1815   - make sure CFG_RAMBOOT and CFG_LOWBOOT are not enabled at the same
1816     time which is not supported
1817
1818 * Patch by Yuli Barcohen, 26 Jan 2004:
1819   Allow bzip2 compression for small memory footprint boards
1820
1821 * Patch by Brad Kemp, 21 Jan 2004:
1822   Add support for CFI flash driver for both the Intel and the AMD
1823   command sets.
1824
1825 * Patch by Travis Sawyer, 20 Jan 2004:
1826   Fix pci bridge auto enumeration of sibling p2p bridges.
1827
1828 * Patch by Tolunay Orkun, 12 Jan 2004:
1829   Add some delays as needed for Intel LXT971A PHY support
1830
1831 * Patches by Stephan Linz, 09 Jan 2004:
1832   - avoid warning: unused variable `piop' in board/altera/common/sevenseg.c
1833   - make DK1C20 board configuration related to ASMI conform to
1834     documentation
1835
1836 * Patch by Anders Larsen, 09 Jan 2004:
1837
1838   ARM memory layout fixes: the abort-stack is now set up in the
1839   correct RAM area, and the BSS is zeroed out as it should be.
1840
1841   Furthermore, the magic variables 'armboot_end' and 'armboot_end_data'
1842   of the linker scripts are replaced by '__bss_start' and '_end',
1843   resp., which is a further step to eliminate unnecessary differences
1844   between the implementation of the CPU architectures.
1845
1846 * Patch by liang a lei, 9 Jan 2004:
1847   Fix Intel 28F128J3 ID in include/flash.h
1848
1849 * Patch by Masami Komiya, 09 Jan 2004:
1850   add support for TB0229 board (NEC VR4131 MIPS processor)
1851
1852 * Patch by Leon Kukovec, 12 Dec 2003:
1853   changed extern __inline__ into static __inline__ in
1854   include/linux/byteorder/swab.h
1855
1856 * Patch by Travis Sawyer, 30 Dec 2003:
1857   Add support for IBM PPC440GX. Multiple EMAC Ethernet devices,
1858   select MDI port based on enabled EMAC device.
1859   Add support for XES Inc <http://www.xes-inc.com> XPedite1000 440GX
1860   base PrPMC board.
1861
1862 * Patch by Wolter Kamphuis, 15 Dec 2003:
1863   made CONFIG_SILENT_CONSOLE usable on all architectures
1864
1865 * Disable date command on TQM866M - there is no RTC on MPC866
1866
1867 * Fix variable CPU clock for MPC859/866 systems for low CPU clocks
1868
1869 * Implement adaptive SDRAM timing configuration based on actual CPU
1870   clock frequency for INCA-IP; fix problem with board hanging when
1871   switching from 150MHz to 100MHz
1872
1873 * Add PCMCIA CS support for BMS2003 board
1874
1875 * Add variable CPU clock for MPC859/866 systems (so far only TQM866M):
1876   see doc/README.MPC866 for details;
1877   implement workaround for "SIU4" and "SIU9" silicon bugs on MPC866;
1878   calculate CPU clock frequency from PLL register values.
1879
1880 * Add support for 128 MB RAM on TQM8xxL/M modules
1881
1882 * Fix PS/2 keyboard problem caused by statically initialized variable
1883   pointing to a location in flash
1884
1885 * Fix INCA-IP clock calculation: 400/3 = 133.3 MHz, not 130.
1886
1887 * The PS/2 mux on the BMS2003 board needs 450 ms after power on
1888   before we can access it; add delay in case we are faster (with no
1889   CF card inserted)
1890
1891 * Cleanup of some init functions
1892
1893 * Make sure SCC Ethernet is always stopped by the time we boot Linux
1894   to avoid Linux crashes by early packets coming in.
1895
1896 * Accelerate flash accesses on LWMON board by using buffered writes
1897
1898 * Fix typo in Makefile;
1899   fix problem with PARTNUM detection
1900
1901 * Patch by Reinhard Meyer, 09 Jan 2004:
1902   - add RTC support for MPC5200 based boards (requires RTC_XTAL)
1903
1904 * Add support for IDE LED on BMS2003 board
1905   (exclusive with status LED!)
1906
1907 * Add support for PS/2 keyboard (used with PS/2 multiplexor on
1908   BMS2003 board)
1909
1910 * Patches by Reinhard Meyer, 4 Jan 2004 + 7 Jan 2004:
1911   Add common files for "emk" boards
1912
1913 * Add a common get_ram_size() function and modify the the
1914   board-specific files to invoke that common implementation.
1915
1916 ======================================================================
1917 Changes for U-Boot 1.0.1:
1918 ======================================================================
1919
1920 * Set default clock for INCA-IP to 150 MHz
1921
1922 * Make BMS2003 use a separate config file to avoid #ifdef mess;
1923   add I2C support; add support for DS1337 RTC
1924
1925 * Add CompactFlash support  for BMS2003 board
1926
1927 * Add support for status LED on BMS2003 board
1928
1929 * Patch by Scott McNutt, 02 Jan 2004:
1930   Add support for the Nios Active Serial Memory Interface (ASMI)
1931   on Cyclone devices
1932
1933 * Patch by Andrea Marson, 16 Dec 2003:
1934   Add support for the PPChameleon ME and HI modules
1935
1936 * Patch by Yuli Barcohen, 22 Dec 2003:
1937   Add support for Motorola DUET ADS board (MPC87x/88x)
1938
1939 * Patch by Robert Schwebel, 15 Dec 2003:
1940   add support for cramfs (uses JFFS2 command interface)
1941
1942 * Patches by Stephan Linz, 11 Dec 2003:
1943   - more documentation for NIOS port
1944   - new struct nios_pio_t, struct nios_spi_t
1945   - Reconfiguration for NIOS Development Kit DK1C20:
1946     o move board related code from board/dk1c20
1947       to board/altera/dk1c20
1948     o create a new common source path board/altera/common
1949       and move generic flash access stuff into it
1950     o change/expand configuration file DK1C20.h
1951   - Add support for NIOS Development Kit DK1S10
1952   - Add status LED support for NIOS systems
1953   - Add dual 7-segment LED support for Altera NIOS DevKits
1954
1955 * Patch by Ronen Shitrit, 10 Dec 2003:
1956   Add support for the Marvell DB64360 / DB64460 development boards
1957
1958 * Patch by Detlev Zundel, 10 Dec 2003:
1959   fix dependency problem in examples/Makefile
1960
1961 * Patch by Denis Peter, 8 Dec 2003
1962   - add support for the PATI board (MPC555)
1963   - add SPI support for the MPC5xx
1964
1965 * Patch by Anders Larsen, 08 Dec 2003:
1966   add configuration options CONFIG_SERIAL_TAG and CONFIG_REVISION_TAG
1967   to pass ATAG_SERIAL and ATAG_REVISION, resp., to the ARM target;
1968   cleanup some redundand #defines
1969
1970 * Patch by André Schwarz, 8 Dec 2003:
1971   fixes for Davicom DM9102A Ethernet Chip (#define CONFIG_TULIP_FIX_DAVICOM):
1972   - TX and RX deskriptors must be quad-word aligned
1973   - does not work with only one TX deskriptor
1974   - standard reset method does not work
1975
1976 * Patch by Masami Komiya, 08 Dec 2003:
1977   add RTL8139 ethernet driver
1978
1979 * Patches by Ed Okerson, 07 Dec 2003:
1980   - fix ethernet for the AU1x00 processors in little-endian mode.
1981   - extend memsetup.S for the AU1x00 processors in BE and LE modes
1982
1983 * Minor code cleanup (coding style)
1984
1985 * Patch by Reinhard Meyer, 30 Dec 2003:
1986   - cpu/mpc5xxx/fec.c: added CONFIG_PHY_ADDR, added CONFIG_PHY_TYPE,
1987   - added CONFIG_PHY_ADDR to include/configs/IceCube.h,
1988   - turned debug print of PHY registers into a function (called in two places)
1989   - added support for EMK MPC5200 based modules
1990
1991 * Fix MPC8xx PLPRCR_MFD_SHIFT typo
1992
1993 * Add support for TQM866M modules
1994
1995 * Fixes for TQM855M with 4 MB flash (Am29DL163 = _no_ mirror bit flash)
1996
1997 * Fix a few compiler warnings
1998
1999 * Patch by Reinhard Meyer, 28 Dec 2003:
2000   Add initial support for TOP5200 board
2001
2002 * Make CPU clock on ICA-IP board controllable by a "cpuclk"
2003   environment variable which can set to "100", "133", or "150". The
2004   CPU clock will be configured accordingly upon next reboot. Other
2005   values are ignored. In case of an invalid or undefined "cpuclk"
2006   value, the compile-time default CPU clock speed will be used.
2007
2008 * Enable Quad-UART on BMS2003 board (initialize the PCMCIA memory
2009   window that is used to access the UART registers by the Linux driver)
2010
2011 * Patch by Reinhard Meyer, 20 Dec 2003:
2012   Fix clock calculation for the MPC5200 for higher clock frequencies
2013   (above 2**32 / 10 = 429.5 MHz).
2014
2015 * Fix CONFIG_PLL_PCI_TO_MEM_MULTIPLIER divider error in SP8240 configuration
2016
2017 * Fix IceCube CLKIN configuration (it's 33.000000MHz)
2018
2019 * Add new configuration for IceCube board with DDR memory
2020
2021 * Update TRAB memory configurations
2022
2023 * Add JFFS2 support for INCA-IP board
2024
2025 * Patch by Bill Hargen, 09 Dec 2003:
2026   - BUBINGA405EP: changed flash driver to protect top sector containing
2027     first instruction.
2028   - BUBINGA405EP: configured "eeprom" command to access boot config EEPROM.
2029   - BUBINGA405EP: fixed PLL init (init chip selects before FPGA/NVRAM access).
2030   - 405EP: fixed SPD-based SDRAM init (only use banks 0 and 1).
2031   - 405EP: added/fixed support for "reginfo" command.
2032   - 4xx: removed spurious MII error messages on "mii info" command.
2033
2034 * Patch by Bernhard Kuhn, 28 Nov 2003:
2035   add support for Coldfire CPU
2036   add support for Motorola M5272C3 and M5282EVB boards
2037
2038 * Patch by Pierre Aubert, 24 Nov 2003:
2039   - add a return value for the fpga command
2040   - add ide_preinit() function called in ide_init if CONFIG_IDE_PREINIT
2041     is defined. If ide_preinit fails, ide_init is aborted.
2042   - fix an endianess problem in fat.h
2043
2044 * Patch by Wolter Kamphuis, 05 Dec 2003:
2045   Add support for SNMC's QS850/QS823/QS860T boards
2046
2047 * Patch by Yuli Barcohen, 3 Dec 2003:
2048   "revive" U-Boot support for old Motorola MPC860ADS board
2049
2050 * Patch by Cam(ilo?), 03 Dec 2003:
2051   make examples build even with broken Montavista objcopy
2052
2053 * Patch by Pavel Bartusek, 27 Nov 2003:
2054   fix conversion problem with "bootretry" evironment variable
2055
2056 * Patch by Andre Schwarz, 24 Nov 2003:
2057   add support for mvblue (mvBlueLYNX and mvBlueBOX) boards
2058
2059 * Patch by Pavel Bartusek, 21 Nov 2003:
2060   set ZMII bridge speed on 440
2061
2062 * Patch by Anders Larsen, 17 Nov 2003:
2063   Fix mismatched #ifdef / #endif in include/asm-arm/arch-pxa/hardware.h
2064
2065 * Patches by David Müller, 14 Nov 2003:
2066   - board/mpl/common/common_util.c
2067     * implement support for BZIP2 compressed images
2068     * various cleanups (printf -> puts, ...)
2069   - board/mpl/common/flash.c
2070     * report correct errors to upper layers
2071     * check the erase fail and VPP low bits in status reg
2072   - board/mpl/vcma9/cmd_vcma9.c
2073   - board/mpl/vcma9/flash.c
2074     * various cleanups (printf -> puts, ...)
2075   - common/cmd_usb.c
2076     * fix typo in comment
2077   - cpu/arm920t/usb_ohci.c
2078     * support for S3C2410 is missing in #if line
2079   - drivers/cs8900.c
2080     * reinit some registers in case of error (cable missing, ...)
2081   - fs/fat/fat.c
2082     * support for USB/MMC devices is missing in #if line
2083   - include/configs/MIP405.h
2084   - include/configs/PIP405.h
2085     * enable BZIP2 support
2086     * enlarge malloc space to 1MiB because of BZIP2 support
2087   - include/configs/VCMA9.h
2088     * enable BZIP2 support
2089     * enlarge malloc space to 1MiB because of BZIP2 support
2090     * enable USB support
2091   - lib_arm/armlinux.c
2092     * change calling convention of ARM Linux kernel as
2093       described on http://www.arm.linux.org.uk/developer/booting.php
2094
2095 * Patch by Thomas Lange, 14 Nov 2003:
2096   Split dbau1x00 into dbau1000, dbau1100 and dbau1500 configs to
2097   support all these AMD boards.
2098
2099 * Patch by Thomas Lange, 14 Nov 2003:
2100   Workaround for mips au1x00 physical memory accesses (the au1x00
2101   uses a 36 bit bus internally and cannot access physical memory
2102   directly. Use the uncached SDRAM address instead of the physical
2103   one.)
2104
2105 * Patch by Xue Ligong (Joe), 13 Nov 2003:
2106   add Realtek 8019 ethernet driver
2107
2108 * Patch by Yuli Barcohen, 13 Nov 2003:
2109   MPC826xADS/PQ2FADS  cleanup
2110
2111 * Patch by Anders Larsen, 12 Nov 2003:
2112   Update README to mark the PORTIO commands non-standard
2113
2114 * Patch by Nicolas Lacressonnière, 12 Nov 2003:
2115   update for for Atmel AT91RM9200DK development kit:
2116   - support for environment variables in DataFlash
2117   - Atmel DataFlash AT45DB1282 support
2118
2119 * Patch by Jeff Carr, 11 Nov 2003:
2120   add support for new version of 8270 processors
2121
2122 * Patches by George G. Davis, 05 Nov 2003:
2123   - only pass the ARM linux initrd tag to the kernel when an initrd
2124     is actually present
2125   - update omap1510inn configuration file
2126
2127 * Patches by Stephan Linz, 3 Nov 2003:
2128   - more endianess fixes for LAN91C111 driver
2129   - CFG_HZ configuration patch for NIOS Cyclone board
2130
2131 * Patch by Stephan Linz, 28 Oct 2003:
2132   fix PHY_INT_REG vs. PHY_MASK_REG bug in drivers/smc91111.c
2133
2134 * Patch by Steven Scholz, 20 Oct 2003:
2135   - make "mii info <addr>" show infor for PHY at "addr" only
2136   - Endian fix for miiphy_info()
2137
2138 * Patch by Gleb Natapov, 19 Sep 2003:
2139   Move most of the timer interrupt related PPC code to ppc_lib/interrupts.c
2140
2141 * Patch by Anders Larsen, 17 Sep 2003:
2142   Bring ARM memory layout in sync with the documentation:
2143   stack and malloc-heap are now located _below_ the U-Boot code
2144
2145 * Accelerate booting on TRAB board: read and check  autoupdate  image
2146   headers first instead of always reading the whole images.
2147
2148 * Fix type in MPC5XXX code (pointed out by Victor Wren)
2149
2150 * Enabled password check on RMU board
2151
2152 * Fix configuration problem with IceCube in LOWBOOT configuration:
2153   envrionment got embedded, corrupting the image layout.
2154
2155 * Fix NEC display names (it's 6440 [for 640x480], not 6640).
2156
2157 * Added BMS2003 board
2158   add support for NEC NL6448BC33-54. 10.4", 640x480 TFT display
2159
2160 * Fix flash driver for TRAB board (must use Unlock Bypass Reset
2161   command to exit Unlock Bypass Mode); adjust timings for flash, SRAM
2162   and CPLD
2163
2164 * Use "-fPIC" instead of "-mrelocatable" to prevent problems with
2165   recent tools
2166
2167 * Add checksum verification to 'imls' command
2168
2169 * Add bd_info fields needed for 4xx Linux I2C driver
2170
2171 * Patch by Martin Krause, 4 Nov. 2003:
2172   Fix error in cmd_vfd.c (TRAB board: "vfd /1" shows now only one Bitmap)
2173
2174 * Print used network interface when CONFIG_NET_MULTI is set
2175
2176 * Patch by Bernhard Kuhn, 28 Oct 2003:
2177   Add low boot support for MPC5200
2178
2179 * Fix problem with dual PCMCIA support (NSCU)
2180
2181 * Fix MPC5200 I2C initialization function
2182
2183 ======================================================================
2184 Changes for U-Boot 1.0.0:
2185 ======================================================================
2186
2187 * Fix parameter passing to standalone images with bootm command
2188
2189 * Patch by Kyle Harris, 30 Oct 2003:
2190   Fix build errors for ixdp425 board
2191
2192 * Patch by David M. Horn, 29 Oct 2003:
2193   Fixes to build under CYGWIN
2194
2195 * Get IceCube MGT5100 working (again)
2196
2197 * Fix problems in memory test on some boards (which was not
2198   non-destructive as intended)
2199
2200 * Patch by Gary Jennejohn, 28 Oct 2003:
2201   Change fs/fat/fat.c to put I/O buffers in BSS instead on the stack
2202   to prevent stack overflow on ARM systems
2203
2204 * Patch by Stephan Linz, 28 Oct 2003:
2205   fix init sequence error for NIOS port
2206
2207 * Allow lowercase spelling for IceCube_5200; support MPC5200LITE name
2208
2209 * Add CONFIG_VERSION_VARIABLE to TRAB configuration
2210
2211 * Patch by Xiao Xianghua, 23 Oct 2003:
2212   small patch for mpc85xx
2213
2214 * Fix small problem in MPC5200 I2C driver
2215
2216 * Fix FCC3 support on ATC board
2217
2218 * Correct header printing for multi-image files in do_bootm()
2219
2220 * Make CONFIG_SILENT_CONSOLE work with CONFIG_AUTOBOOT_KEYED
2221
2222 * Fix PCI problems on PPChameleon board
2223
2224 * Patch by Steven Scholz, 18 Oct 2003:
2225   Fix AT91RM9200 ethernet driver
2226
2227 * Patch by Nye Liu, 17 Oct 2003:
2228   Fix typo in include/mpc8xx.h
2229
2230 * Patch by Richard Woodruff, 16 Oct 03:
2231   Fixes for cpu/arm925/interrupt.c
2232   - Initialize timestamp & lastdec vars.
2233   - fix timestamp overflows.
2234   - fix lastdec overflow.
2235   - smarter normalization to allow udelay() below 1ms to work.
2236
2237 * Patch by Scott McNutt, 16 Oct
2238   add networking support for the Altera Nios Development Kit,
2239   Cyclone Edition (DK-1C20)
2240
2241 * Patch by Jon Diekema, 14 Oct 2003:
2242   add hint about doc/README.silent to README file
2243
2244 * Add CompactFlash support for NSCU
2245
2246 * Fix PCI problems on PPChameleonEVB
2247
2248 * TRAB auto-update: Base decision if we have to strip the image
2249   header on image type as encoded in the header
2250   (include image type patch by Martin Krause, 17 Oct 2003)
2251
2252 * Patches by Xianghua Xiao, 15 Oct 2003:
2253
2254   - Added Motorola CPU 8540/8560 support (cpu/85xx)
2255   - Added Motorola MPC8540ADS board support (board/mpc8540ads)
2256   - Added Motorola MPC8560ADS board support (board/mpc8560ads)
2257
2258 * Fix flash timings on TRAB board
2259
2260 * Make sure HUSH is initialized for running auto-update scripts
2261
2262 * Make 5200 reset command _really_ reset the board, without running
2263   any other code after it
2264
2265 * Fix errors with flash erase when range spans  across banks
2266   that are mapped in reverse order
2267
2268 * Fix flash mapping and display on P3G4 board
2269
2270 * Patch by Kyle Harris, 15 Jul 2003:
2271   - add support for Intel IXP425 CPU
2272   - add support for IXDP425 eval board
2273
2274 * Added config option CONFIG_SILENT_CONSOLE.  See doc/README.silent
2275   for more information
2276
2277 * Patch by Steven Scholz, 10 Oct 2003
2278   - Add support for Altera FPGA ACEX1K
2279
2280 * Patches by Thomas Lange, 09 Oct 2003:
2281   - fix cmd_ide.c for non ppc boards (read/write functions did not
2282     add ATA base address)
2283   - fix for shannon board
2284   - #ifdef CONFIG_IDE_8xx_DIRECT some otherwise unused code
2285   - Endian swap ATA identity for all big endian CPUs, not just PPC
2286   - MIPS only: New option CONFIG_MEMSIZE_IN_BYTES for passing memsize
2287     args to linux
2288   - add support for dbau1x00 board (MIPS32)
2289
2290 * Patch by Sangmoon Kim, 07 Oct 2003:
2291   add support for debris board
2292
2293 * Patch by Martin Krause, 09 Oct 2003:
2294   Fixes for TRAB board
2295   - /board/trab/rs485.c: correct baudrate
2296   - /board/trab/cmd_trab.c: bug fix for problem with timer overflow in
2297     udelay(); fix some timing problems with adc controller
2298   - /board/trab/trab_fkt.c: add new commands: gain, eeprom and power;
2299     modify commands: touch and buzzer
2300
2301 * Disable CONFIG_SUPPORT_VFAT when used with CONFIG_AUTO_UPDATE
2302   (quick & dirty workaround for rogue pointer problem in get_vfatname());
2303   Use direct function calls for auto_update instead of hush commands
2304
2305 * Patch by Scott McNutt, 04 Oct 2003:
2306   - add support for Altera Nios-32 CPU
2307   - add support for Nios Cyclone Development Kit (DK-1C20)
2308
2309 * Patch by Steven Scholz, 29 Sep 2003:
2310   - A second parameter for bootm overwrites the load address for
2311     "Standalone Application" images.
2312   - bootm sets environment variable "filesize" to the resulting
2313     (uncompressed) data length for "Standalone Application" images
2314     when autostart is set to "no". Now you can do something like
2315         if bootm $fpgadata $some_free_ram ; then
2316                 fpga load 0 $some_free_ram $filesize
2317         fi
2318
2319 * Patch by Denis Peter, 25 Sept 2003:
2320   add support for the MIP405 Rev. C board
2321
2322 * Patch by Yuli Barcohen, 25 Sep 2003:
2323   add support for Zephyr Engineering ZPC.1900 board
2324
2325 * Patch by Anders Larsen, 23 Sep 2003:
2326   add CMD_PORTIO to CFG_CMD_NONSTD (commands in question are only
2327   implemented for the x86 architecture)
2328
2329 * Patch by Sangmoon Kim, 23 Sep 2003:
2330   fix pll_pci_to_mem_multiplier table for MPC8245
2331
2332 * Patch by Anders Larsen, 22 Sep 2003:
2333   enable timed autoboot on PXA
2334
2335 * Patch by David Müller, 22 Sep 2003:
2336   - add $(CFLAGS) to "-print-libgcc-filename" so compiler driver
2337     returns correct libgcc file path
2338   - "latency" reduction of busy-loop waiting to improve "U-Boot" boot
2339     time on s3c24x0 systems
2340
2341 * Patch by Jon Diekema, 19 Sep 2003:
2342   - Add CFG_FAULT_ECHO_LINK_DOWN option to echo the inverted Ethernet
2343     link state to the fault LED.
2344   - In NetLoop, make the Fault LED reflect the link status.  The link
2345     status gets updated on entry, and on timeouts.
2346
2347 * Patch by Anders Larsen, 18 Sep 2003:
2348   allow mkimage to build and run on Cygwin-hosted systems
2349
2350 * Patch by Frank Müller, 18 Sep 2003:
2351   use bi_intfreq instead of bi_busfreq to compute fec_mii_speed in
2352   cpu/mpc8xx/fec.c
2353
2354 * Patch by Pantelis Antoniou, 16 Sep 2003:
2355   add tool to compute fileds in the PLPRCR register for MPC86x
2356
2357 * Use IH_TYPE_FILESYSTEM for TRAB "disk" images.
2358
2359 * Fix build problems under FreeBSD
2360
2361 * Add generic filesystem image type
2362
2363 * Make fatload set filesize environment variable
2364
2365 * enable basic / medium / high-end configurations for PPChameleonEVB
2366   board; fix NAND code
2367
2368 * enable TFTP client code to specify to the server the desired
2369   timeout value (see RFC-2349)
2370
2371 * Improve SDRAM setup for TRAB board
2372
2373 * Suppress all output with splashscreen configured only if "splashimage"
2374   is set
2375
2376 * Fix problems with I2C support for mpc5200
2377
2378 * Adapt TRAB configuration and auto_update to new memory layout
2379
2380 * Add configuration for wtk board
2381
2382 * Add support for the Sharp LQ065T9DR51U LCD display
2383
2384 * Patch by Rune Torgersen, 17 Sep 2003:
2385   - Fixes for MPC8266 default config
2386   - Allow eth_loopback_test() on 8260 to use a subset of the FCC's
2387
2388 * Patches by Jon Diekema, 17 Sep 2003:
2389   - update README (SHOW_BOOT_PROGRESS values for cmd_nand.c and
2390     env_common.c)
2391   - sbc8260 tweaks
2392   - adjust "help" output
2393
2394 * Patches by Anders Larsen, 17 Sep 2003:
2395   - fix spelling errors
2396   - set GD_FLG_DEVINIT flag only after device function pointers
2397     are valid
2398   - Allow CFG_ALT_MEMTEST on systems where address zero isn't
2399     writeable
2400   - enable 3.rd UART (ST-UART) on PXA(XScale) CPUs
2401   - trigger watchdog while waiting in serial driver
2402
2403 * Add auto-update code for TRAB board using USB memory sticks,
2404   support new configuration with more memory
2405
2406 * disable MPC5200 bus pipelining as workaround for bus contention
2407
2408 * Modify XLB arbiter priorities on MPC5200 so all devices use same
2409   priority; configure critical interrupts to be handled like external
2410   interrupts
2411
2412 * Make IPB clock on MGT5100/MPC5200 configurable in board config file;
2413   go back to 66 MHz for stability
2414
2415 * Patches by Jon Diekema, 15 Sep 2003:
2416   - add description for missing CFG_CMD_* entries in the README file
2417   - sacsng tweaks
2418
2419 * Patch by Gleb Natapov, 14 Sep 2003:
2420   enable watchdog support for all MPC824x boards that have a watchdog
2421
2422 * On MPC5200, restrict FEC to a maximum of 10 Mbps to work around the
2423   "Non-octet Aligned Frame" errors we see at 100 Mbps
2424
2425 * Patch by Sharad Gupta, 14 Sep 2003:
2426   fix SPR numbers for upper BAT register ([ID]BAT[4-7][UL])
2427
2428 * Patch by llandre, 11 Sep 2003:
2429   update configuration for PPChameleonEVB board
2430
2431 * Patch by David Müller, 13 Sep 2003:
2432   various changes to VCMA9 board specific files
2433
2434 * Add I2C support for MGT5100 / MPC5200
2435
2436 * Patch by Rune Torgersen, 11 Sep 2003:
2437   Changed default memory option on MPC8266ADS to NOT be Page Based
2438   Interleave, since this doesn't work very well with the standard
2439   16MB DIMM
2440
2441 * Patch by George G. Davis, 12 Sep 2003:
2442   fix Makefile settings for sk98 driver
2443
2444 * Patch by Stefan Roese, 12 Sep 2003:
2445   - new boards added: DP405, HUB405, PLU405, VOH405
2446   - some esd boards updated
2447   - cpu/ppc4xx/sdram.c: disable memory controller before setting
2448     first values
2449   - cpu/ppc4xx/405_pci.c: set vendor id on PPC405EP systems
2450
2451 * Patch by Martin Krause, 11 Sep 2003:
2452   add burn-in tests for TRAB board
2453
2454 * Enable instruction cache on MPC5200 board
2455
2456 * Patch by Denis Peter, 11 Sep 2003:
2457   - fix USB data pointer assignment for bulk only transfer.
2458   - prevent to display erased directories in FAT filesystem.
2459
2460 * Change output format for NAND flash - make it look like for other
2461   memory, too
2462
2463 ======================================================================
2464 Changes for U-Boot 0.4.8:
2465 ======================================================================
2466
2467 * Add I2C and RTC support for RMU board
2468
2469 * Patches by Denis Peter, 9 Sep 2003:
2470   add FAT support for IDE, SCSI and USB
2471
2472 * Patches by Gleb Natapov, 2 Sep 2003:
2473   - cleanup of POST code for unsupported architectures
2474   - MPC824x locks way0 of data cache for use as initial RAM;
2475     this patch unlocks it after relocation to RAM and invalidates
2476     the locked entries.
2477
2478 * Patch by Gleb Natapov, 30 Aug 2003:
2479   new I2C driver for mpc107 bridge. Now works from flash.
2480
2481 * Patch by Dave Ellis, 11 Aug 2003:
2482   - JFFS2: fix typo in common/cmd_jffs2.c
2483   - JFFS2: fix CFG_JFFS2_SORT_FRAGMENTS option
2484   - JFFS2: remove node version 0 warning
2485   - JFFS2: accept JFFS2 PADDING nodes
2486   - SXNI855T: add AM29LV800 support
2487   - SXNI855T: move environment from EEPROM to flash
2488   - SXNI855T: boot from JFFS2 in NOR or NAND flash
2489
2490 * Patch by Bill Hargen, 11 Aug 2003:
2491   fixes for I2C on MPC8240
2492   - fix i2c_write routine
2493   - fix iprobe command
2494   - eliminates use of global variables, plus dead code, cleanup.
2495
2496 * Add support for USB Mass Storage Devices (BBB)
2497   (tested with USB memory sticks only)
2498
2499 * Avoid flicker on TRAB's VFD
2500
2501 * Add support for SK98xx driver
2502
2503 * Add PCI support for SL8245 board
2504
2505 * Support IceCube board configurations with 1 x AMD AM29LV065 (8 MB)
2506   or 1 x AM29LV652 (two LV065 in one chip = 16 MB);
2507   Run IPB at 133 Mhz; adjust the MII clock frequency accordingly
2508
2509 * Set BRG_CLK on PM825/826 to 64MHz (VCO_OUT / 4, instead of 16  MHz)
2510   to allow for more accurate baudrate settings
2511   (error now 0.7% at 115 kbps, instead of 3.5% before)
2512
2513 * Patch by Andreas Mohr, 4 Sep 2003:
2514   Fix a lot of spelling errors
2515
2516 * Add support for PPChameleon Eval Board
2517
2518 * Add support for P3G4 board
2519
2520 * Fix problem with MGT5100 FEC driver: add "early" MAC address
2521   initialization
2522
2523 * Patch by Yuli Barcohen, 7 Aug 2003:
2524   check BCSR to detect if the board is configured in PCI mode
2525
2526 ======================================================================
2527 Changes for U-Boot 0.4.7:
2528 ======================================================================
2529
2530 * Patch by Raghu Krishnaprasad, 7 Aug 2003:
2531   add support for Adder II MPC852T module
2532
2533 * Patch by George G. Davis, 19 Aug 2003:
2534   fix TI Innovator/OMAP1510 pin configs
2535
2536 * Patches by Kshitij, 18 Aug 2003
2537   - add support for arm926ejs cpu core
2538   - add support for TI OMAP 1610 Innovator Board
2539
2540 * Patch by Yuli Barcohen, 14 Aug 2003:
2541   add support for bzip2 uncompression
2542
2543 * Add GCC library to examples/Makefile so GCC utility functions will
2544   be resolved, too
2545
2546 * Add I2C and RTC support for RMU board using software I2C driver
2547   (because of better response to iprobe command); fix problem with
2548   "reset" command
2549
2550 * Patch by Matthias Fuchs, 28 Aug 2003:
2551   Added CONFIG_BOOTP_DNS2 and CONFIG_BOOTP_SEND_HOSTNAME to
2552   CONFIG_BOOTP_MAKS (see README).
2553
2554 * Fix ICU862 environment problem
2555
2556 * Fix RAM size detection for RMU board
2557
2558 * Implement "reset" for MGT5100/MPC5200 systems
2559
2560 ======================================================================
2561 Changes for U-Boot 0.4.6:
2562 ======================================================================
2563
2564 * Make Ethernet autonegotiation on INCA-IP work for all clock rates;
2565   allow selection of clock frequency as "make" target
2566
2567 * Implement memory autosizing code for IceCube boards
2568
2569 * Configure network port on INCA-IP for autonegotiation
2570
2571 * Fix overflow problem in network timeout code
2572
2573 * Patch by Richard Woodruff, 8 Aug 2003:
2574   Allow crc32 to be used at address 0x000 (crc32_no_comp, too).
2575
2576 ======================================================================
2577 Changes for U-Boot 0.4.5:
2578 ======================================================================
2579
2580 * Update for TQM board defaults:
2581   disable clocks_in_mhz, enable boot count limit
2582
2583 * Removed tools/gdb from "make all" target.  Added make target "gdbtools"
2584   in toplevel directory instead.  Removed astest.c from tools/gdb because
2585   it is no longer relevant.
2586
2587 * Fix PCI support for MPC5200 / IceCube Board
2588
2589 * Map ISP1362 USB OTG controller for NSCU board
2590
2591 * Patch by Brad Parker, 02 Aug 2003:
2592   fix sc520_cdp problems
2593
2594 * Implement Boot Cycle Detection (Req. 2.3 of OSDL CGL Reqirements)
2595
2596 * Allow erase command to cross flash bank boundaries
2597
2598 * Patch by Scott McNutt, 21 Jul 2003:
2599   Add support for LynuxWorks Kernel Downloadable Images (KDIs).
2600   Both LynxOS and BlueCat linux KDIs are supported.
2601
2602 * Patch by Richard Woodruff, 25 Jul 2003:
2603   use more reliable reset for OMAP/925T
2604
2605 * Patch by Nye Liu, 25 Jul 2003:
2606   fix typo in mpc8xx.h
2607
2608 * Patch by Richard Woodruff, 24 Jul 2003:
2609   Fixes for cmd_nand.c:
2610   - Fixed null dereferece which could result in incorrect ECC values.
2611   - Added support for devices with no Ready/Busy signal hooked up.
2612   - Added OMAP1510 read/write protect handling.
2613   - Fixed nand.h's ECCPOS. A conflict existed with POS5 and badblock
2614     for non-JFFS2.
2615   - Switched default ECC to be JFFS2.
2616
2617 * Allow crc32 to be used at address 0x000
2618
2619 * Provide consistent interface to standalone applications to access
2620   the 'global_data' structure
2621   Provide a doc/README.standalone more useful to users/developers.
2622
2623 * Make IceCube MGT5100 FEC driver work
2624
2625 * Implement new mechanism to export U-Boot's functions to standalone
2626   applications: instead of using (PPC-specific) system calls we now
2627   use a jump table; please see doc/README.standalone for details
2628
2629 * Patch by Dave Westwood, 24 Jul 2003:
2630   added support for Unity OS (a proprietary OS)
2631
2632 * Patch by Detlev Zundel, 23 Jul 2003:
2633   add "imls" command to print flash table of contents
2634
2635 * Fix cold boot detection for log buffer reset
2636
2637 * Return error for invalid length specifiers with "cp.X" etc.
2638
2639 * Fix startup problem on MIPS
2640
2641 * Allow for CONFIG_SPLASH_SCREEN even when no explicit
2642   bitmap support is configured
2643
2644 * Patch by Bill Hargen, 18 Jul 2003:
2645   - fix endinaness problem in cpu/mpc824x/drivers/i2c/i2c1.c
2646
2647 * Patch by Denis Peter, 18 Jul 2003:
2648   - fix memory configuration for MIP405T
2649   - fix printout of baudrate for "loadb <loadaddr> <baudrate>"
2650
2651 * Cleanup of TQM82xx configurations; use "official" board types
2652   to make selection easier.
2653
2654 * Patch by Martin Krause, 17 Jul 2003:
2655   add delay to get I2C working with "imm" command and s3c24x0_i2c.c
2656
2657 * Patch by Richard Woodruff, 17 July 03:
2658   - Fixed bug in OMAP1510 baud rate divisor settings.
2659
2660 * Patch by Nye Liu, 16 July 2003:
2661   MPC860FADS fixes:
2662   - add MPC86xADS support (uses MPC86xADS.h)
2663   - add 866P/T core support (also MPC859T/MPC859DSL/MPC852T)
2664     o PLPRCR changes
2665     o BRG changes (EXTAL/XTAL restricted to 10MHz)
2666     o don't trust gclk() software measurement by default, depend on
2667       CONFIG_8xx_GCLK_FREQ
2668   - add DRAM SIMM not installed detection
2669   - use more "correct" SDRAM initialization sequence
2670   - allow different SDRAM sizes (8xxADS has 8M)
2671   - default DER is 0
2672   - remove unused MAMR defines from FADS860T.h (all done in fads.c)
2673   - rename MAMR/MBMR defines to be more consistent. Should eventually
2674     be merged into MxMR to better reflect the PowerQUICC datasheet.
2675
2676 * Patch by Yuli Barcohen, 16 Jul 2003:
2677   support new Motorola PQ2FADS-ZU evaluation board which replaced
2678   MPC8260ADS and MPC8266ADS
2679
2680 ======================================================================
2681 Changes for U-Boot 0.4.4:
2682 ======================================================================
2683
2684 * Add support for IceCube board (with MGT5100 and MPC5200 CPUs)
2685
2686 * Add support for MGT5100 and MPC5200 processors
2687
2688 * Patch by Lutz Dennig, 15 Jul 2003:
2689   update for R360MPI board
2690
2691 ======================================================================
2692 Changes for U-Boot 0.4.3:
2693 ======================================================================
2694
2695 * Patches by Kshitij, 04 Jul 2003
2696   - added support for arm925t cpu core
2697   - added support for TI OMAP 1510 Innovator Board
2698
2699 * Patches by Martin Krause, 14 Jul 2003:
2700   - add I2C support for s3c2400 systems (trab board)
2701   - (re-) add "ping" to command table
2702
2703 * Fix handling of "slow" POST routines
2704
2705 * Patches by Yuli Barcohen, 13 Jul 2003:
2706   - Correct flash and JFFS2 support for MPC8260ADS
2707   - fix PVR values and clock generation for PowerQUICC II family
2708     (8270/8275/8280)
2709
2710 * Patch by Bernhard Kuhn, 08 Jul 2003:
2711   - add support for M68K targets
2712
2713 * Patch by Ken Chou, 3 Jul:
2714   - Fix PCI config table for A3000
2715   - Fix iobase for natsemi.c
2716     (PCI_BASE_ADDRESS_0 is the IO base register for DP83815)
2717
2718 * Allow to enable "slow" POST routines by key press on power-on
2719 * Fix temperature dependend switching of LCD backlight on LWMON
2720 * Tweak output format for LWMON
2721
2722 * Patch by Stefan Roese, 11 Jul 2003:
2723   - Fix bug in CONFIG_VERSION_VARIABLE.
2724   - AR405 config updated.
2725   - OCRTC/ORSG: bsp command added.
2726   - ASH405 bsp update.
2727
2728 ======================================================================
2729 Changes for U-Boot 0.4.2:
2730 ======================================================================
2731
2732 * Add support for NSCU board
2733
2734 * Add support for TQM823M, TQM850M, TQM855M and TQM860M modules
2735
2736 * Add support for Am29LV160ML, Am29LV320ML, and Am29LV640ML
2737   mirror bit flash on TQM8xxM modules
2738
2739 * Patch by Kenneth Johansson, 30 Jun 2003:
2740   get rid of MK_CMD_ENTRY macro; update doc/README.command
2741
2742 * Patch by Seb James, 30 Jun 2003:
2743   Improve documentation of I2C configuration in README
2744
2745 * Fix problems with previous log buffer "fixes"
2746
2747 * Fix minor help text issues
2748
2749 * "log append" did not append a newline
2750
2751 ======================================================================
2752 Changes for U-Boot 0.4.1:
2753 ======================================================================
2754
2755 * Fix some missing commands, cleanup header files
2756   (autoscript, bmp, bsp, fat, mmc, nand, portio, ...)
2757
2758 * Rewrite command lookup and help command (fix problems with bubble
2759   sort when sorting command name list). Minor cleanup here and there.
2760
2761 * Merge from "stable branch", tag LABEL_2003_06_28_1800-stable:
2762   - Allow to call sysmon function interactively
2763   - PIC on LWMON board needs delay after power-on
2764   - Add missing RSR definitions for MPC8xx
2765   - Improve log buffer handling: guarantee clean reset after power-on
2766   - Add support for EXBITGEN board (aka "genie")
2767   - Add support for SL8245 board
2768
2769 * Code cleanup:
2770   - remove trailing white space, trailing empty lines, C++ comments, etc.
2771   - split cmd_boot.c (separate cmd_bdinfo.c and cmd_load.c)
2772
2773 * Patches by Kenneth Johansson, 25 Jun 2003:
2774   - major rework of command structure
2775     (work done mostly by Michal Cendrowski and Joakim Kristiansen)
2776
2777 ======================================================================
2778 Changes for U-Boot 0.4.0:
2779 ======================================================================
2780
2781 * Patches by Robert Schwebel, 26 Jun 2003:
2782   - csb226 configuration updated
2783   - credits for logodl port updated
2784   - innokom configuration updated
2785   - logodl tree update, still with coding style inconsistencies
2786   - added OCM for ppc405 warning to README
2787
2788 * Patch by Pantelis Antoniou, 25 Jun 2003:
2789   update NetVia with V2 board support
2790
2791 * Header file cleanup for ARM
2792
2793 * Patch by Murray Jensen, 24 Jun 2003:
2794   - make sure to use only U-boot provided header files
2795   - fix problems with ".rodata.str1.4" section as used by GCC-3.x
2796
2797 * Patch by Stefan Roese, 24 Jun 2003:
2798   - Update esd ASH405 board files.
2799   - Update esd DASA_SIM config file.
2800   - Add ping command to some esd boards.
2801
2802 * Patch by Yuli Barcohen, 23 Jun 2003:
2803   Update for MPC8260ADS board
2804
2805 * Patch by Murray Jensen, 23 Jun 2003:
2806   - cleanup of GCC 3.x compiler warnings
2807
2808 * Patch by Rune Torgersen, 4 Jun 2003:
2809   add large memory support for MPC8266ADS board
2810
2811 * Patch by Richard Woodruff, 19 June 03:
2812   - Enabled standard u-boot device abstraction for ARM
2813   - Enabled console device for ARM
2814   - Initilized bi_baudrate for ARM
2815
2816 * Patch by Bill Hargen, 23 Apr 2003:
2817   fix byte order for 824x I2C addresses (write op)
2818
2819 * Patch by Murray Jensen, 20 Jun 2003:
2820   - hymod update
2821   - cleanup (especially for gcc-3.x compilers)
2822
2823 * Patch by Tom Guilliams, 20 Jun 2003:
2824   added CONFIG_750FX support for IBM 750FX processors
2825
2826 * Patch by Devin Crumb, 02 Apr 2003:
2827   Fix clock divider rounding problem in drivers/serial.c
2828
2829 * Patch by Richard Woodruff, 19 June 03:
2830   - Fixed smc91c111 driver to sync with the u-boot environment
2831     (driver/smc91c111.c).
2832   - Added eth_init error return check in NetLoop (net/net.c).
2833
2834 * Patch by Ken Chou, 19 June 2003:
2835   Added support for A3000 SBC board (Artis Microsystems Inc.)
2836
2837 * Patches by Murray Jensen, 17 Jun 2003:
2838   - Hymod board database mods: add "who" field and new xilinx chip types
2839   - provide new "init_cmd_timeout()" function so code external to
2840     "common/main.c" can use the "reset_cmd_timeout()" function before
2841     entering the main loop
2842   - add DTT support for adm1021 (new file dtt/adm1021.c; config
2843     slightly different. see include/configs/hymod.h for an example
2844     (requires CONFIG_DTT_ADM1021, CONFIG_DTT_SENSORS, and
2845     CFG_DTT_ADM1021 defined)
2846   - add new "eeprom_probe()" function which has similar args and
2847     behaves in a similar way to "eeprom_read()" etc.
2848   - add 8260 FCC ethernet loopback code (new "eth_loopback_test()"
2849     function which is enabled by defining CONFIG_ETHER_LOOPBACK_TEST)
2850   - gdbtools copyright update
2851   - ensure that set_msr() executes the "sync" and "isync" instructions
2852     after the "mtmsr" instruction in cpu/mpc8260/interrupts.c
2853   - 8260 I/O ports fix: Open Drain should be set last when configuring
2854   - add SIU IRQ defines for 8260
2855   - allow LDSCRIPT override and OBJCFLAGS initialization: change to
2856     config.mk to allow board configurations to override the GNU
2857     linker script, selected via the LDSCRIPT, make variable, and to
2858     give an initial value to the OBJCFLAGS make variable
2859   - 8260 i2c enhancement:
2860     o correctly extends the timeout depending on the size of all
2861       queued messages for both transmit and receive
2862     o will not continue with receive if transmit times out
2863     o ensures that the error callback is done for all queued tx
2864       and rx messages
2865     o correctly detects both tx and rx timeouts, only delivers one to
2866       the callback, and does not overwrite an earlier error
2867     o logic in i2c_probe now correct
2868   - add "vprintf()" function so that "panic()" function can be
2869     technically correct
2870   - many Hymod board changes
2871
2872 * Patches by Robert Schwebel, 14 Jun 2003:
2873   - add support for Logotronic DL datalogger board
2874   - cleanup serial line after kermit binary download
2875   - add debugX macro (debug level support)
2876   - update mach-types.h to latest arm.linux.org.uk master list.
2877
2878 * Patches by David Müller, 12 Jun 2003:
2879   - rewrite of the S3C24X0 register definitions stuff
2880   - "driver" for the built-in S3C24X0 RTC
2881
2882 * Patches by Yuli Barcohen, 12 Jun 2003:
2883   - Add MII support and Ethernet PHY initialization for MPC8260ADS board
2884   - Fix incorrect SIUMCR initialisation caused by wrong Hard Reset
2885     configuration word supplied by FPGA on some MPC8260ADS boards
2886
2887 * Patch by Pantelis Antoniou, 10 Jun 2003:
2888   Unify status LED interface
2889
2890 * Add support for DS12887 RTC; add RTC support for ATC board
2891
2892 * Patch by Nicolas Lacressonniere, 11 Jun 2003:
2893   Modifications for Atmel AT91RM9200DK ARM920T based development kit
2894   - Add Atmel DataFlash support for reading and writing.
2895   - Add possibility to boot a Linux from DataFlash with BOOTM command.
2896   - Add Flash detection on Atmel AT91RM9200DK
2897     (between Atmel AT49BV1614 and AT49BV1614A flashes)
2898   - Replace old Ethernet PHY layer functions
2899   - Change link address
2900
2901 * Patch by Frank Smith, 9 Jun 2003:
2902   use CRIT_EXCEPTION for machine check on 4xx
2903
2904 * Patch by Detlev Zundel, 13 Jun 2003:
2905   added implementation of the "carinfo" command in cmd_immap.c
2906
2907 * Fix CONFIG_NET_MULTI support in include/net.h
2908
2909 * Patches by Kyle Harris, 13 Mar 2003:
2910   - Add FAT partition support
2911   - Add command support for FAT
2912   - Add command support for MMC
2913   ----
2914   - Add Intel PXA support for video
2915   - Add Intel PXA support for MMC
2916   ----
2917   - Enable MMC and FAT for lubbock board
2918   - Other misc changes for lubbock board
2919
2920 * Patch by Robert Schwebel, April 02, 2003:
2921   fix for SMSC91111 driver
2922
2923 * Patch by Vladimir Gurevich, 04 Jun 2003:
2924   make ppc405 ethernet driver compatible with CONFIG_NET_MULTI option
2925
2926 * Patch by Stefan Roese, 05 Jun 2003:
2927   - PPC4xx: Fix bug for initial stack in data cache as pointed out by
2928     Thomas Schaefer (tschaefer@giga-stream.de). Now inital stack in
2929     data cache can be used even if the chip select is in use.
2930   - CFG_RX_ETH_BUFFER added to set the ethernet receive buffer count
2931     (see README for further description).
2932   - Changed config files of CONFIG_EEPRO100 boards to use the
2933     CFG_RX_ETH_BUFFER define.
2934
2935 * Add support for RMU board
2936
2937 * Add support for TQM862L at 100/50 MHz
2938
2939 * Patch by Pantelis Antoniou, 02 Jun 2003:
2940   major reconstruction of networking code;
2941   add "ping" support (outgoing only!)
2942
2943 * Patch by Denis Peter, 04 June 2003:
2944   add support for the MIP405T board
2945
2946 * Patches by Udi Finkelstein, 2 June 2003:
2947   - Added support for custom keyboards, initialized by defining a
2948     board-specific drv_keyboard_init as well as defining CONFIG_KEYBOARD .
2949   - Added support for the RBC823 board.
2950   - cpu/mpc8xx/lcd.c now automatically calculates the
2951     Horizontal Pixel Count field.
2952
2953 * Fix alignment problem in BOOTP (dhcp_leasetime option)
2954   [pointed out by Nicolas Lacressonnière, 2 Jun 2003]
2955
2956 * Patch by Mark Rakes, 14 May 2003:
2957   add support for Intel e1000 gig cards.
2958
2959 * Patch by Nye Liu, 3 Jun 2003:
2960   fix critical typo in MAMR definition (include/mpc8xx.h)
2961
2962 * Fix requirement to align U-Boot image on 16 kB boundaries on PPC.
2963
2964 * Patch by Klaus Heydeck, 2 Jun 2003
2965   Minor changes for KUP4K configuration
2966
2967 * Patch by Marc Singer, 29 May 2003:
2968   Fixed rarp boot method for IA32 and other little-endian CPUs.
2969
2970 * Patch by Marc Singer, 28 May 2003:
2971   Added port I/O commands.
2972
2973 * Patch by Matthew McClintock, 28 May 2003
2974   - cpu/mpc824x/start.S: fix relocation code when booting from RAM
2975   - minor patches for utx8245
2976
2977 * Patch by Daniel Engström, 28 May 2003:
2978   x86 update
2979
2980 * Patch by Dave Ellis, 9 May 2003 + 27 May 2003:
2981   add nand flash support to SXNI855T configuration
2982   fix/extend nand flash support:
2983   - fix 'nand erase' command so does not erase bad blocks
2984   - fix 'nand write' command so does not write to bad blocks
2985   - fix nand_probe() so handles no flash detected properly
2986   - add doc/README.nand
2987   - add .jffs2 and .oob options to nand read/write
2988   - add 'nand bad' command to list bad blocks
2989   - add 'clean' option to 'nand erase' to write JFFS2 clean markers
2990   - make NAND read/write faster
2991
2992 * Patch by Rune Torgersen, 23 May 2003:
2993   Update for MPC8266ADS board
2994
2995 * Get (mostly) rid of CFG_MONITOR_LEN definition; compute real length
2996   instead CFG_MONITOR_LEN is now only used to determine  _at_compile_
2997   _time_  (!) if the environment is embedded within the U-Boot image,
2998   or in a separate flash sector.
2999
3000 * Cleanup CFG_DER #defines in config files (wd maintained only)
3001
3002 * Fix data abort exception handling for arm920t CPU
3003
3004 * Fix alignment problems with flash driver for TRAB board
3005
3006 * Patch by Donald White, 21 May 2003:
3007   fix calculation of base address in pci_hose_config_device()
3008
3009 * Fix bug in command line parsing: "cmd1;cmd2" is supposed to always
3010   execute "cmd2", even if "cmd1" fails. Note that this is different
3011   to "run var1 var2" where the contents of "var2" will NOT be
3012   executed when a command in "var1" fails.
3013
3014 * Add zero-copy ramdisk support (requires corresponding kernel support!)
3015
3016 * Patch by Kyle Harris, 20 May 2003:
3017   In preparation for an ixp port, rename cpu/xscale and arch-xscale
3018   into cpu/pxa and arch-pxa.
3019
3020 * Patch by Stefan Roese, 23 May 2003:
3021   - IBM PPC405EP port added.
3022   - CONFIG_UART1_CONSOLE added. If defined internal UART1 (and not
3023     UART0) is used as default U-Boot console. PPC4xx only!
3024   - esd ASH405 board added (PPC405EP based).
3025   - BUBINGA405EP board added (PPC405EP based - IBM Eval Board).
3026   - esd CPCI405AB board added.
3027   - esd PMC405 board added.
3028   - Update of some esd boards.
3029
3030 * Patch by Denis Peter, 19 Mai 2003:
3031   add support for the MIP405-3 board
3032
3033 * Patch by Dave Ellis, 22 May 2003:
3034   Fix problem with only partially cleared .bss segment
3035
3036 * Patch by Rune Torgersen, 12 May 2003:
3037   get PCI to work on a MPC8266ADS board; incorporate change to
3038   cpu/mpc8260/pci.c to enable overrides of PCI memory parameters
3039
3040 * Patch by Nye Liu, 1 May 2003:
3041   minor patches for the FADS8xx
3042
3043 * Patch by Thomas Schäfer, 28 Apr 2003:
3044   Fix SPD handling for 256 ECC DIMM on Walnut
3045
3046 * Add support for arbitrary bitmaps for TRAB's  VFD command;
3047   allow to pass boot bitmap addresses in environment variables;
3048   allow for zero boot delay
3049
3050 * Patch by Christian Geißinger, 19 May 2002:
3051   On TRAB: wait until the dummy byte has been completely sent
3052
3053 * Patch by David Updegraff, 22 Apr 2003:
3054   update for CrayL1 board
3055
3056 * Patch by Pantelis Antoniou, 21 Apr 2003:
3057   add boot support for ARTOS (a proprietary OS)
3058
3059 * Patch by Steven Scholz, 11 Apr 2003:
3060   Add support for RTC DS1338
3061
3062 * Patch by Rod Boyce, 24 Jan 2003:
3063   Fix counting of extended partitions in diskboot command
3064
3065 * Patch by Christophe Lindheimer, 20 May 2003:
3066   allow the use of CFG_LOADS when CFG_NO_FLASH is set
3067
3068 * Fix SDRAM timing on Purple board
3069
3070 * Add support for CompactFlash on ATC board
3071   (includes support for Intel 82365 and compatible PC Card controllers,
3072   and Yenta-compatible PCI-to-CardBus controllers)
3073
3074 * Patch by Mathijs Haarman, 08 May 2003:
3075   Add lan91c96 driver (tested on Lubbock and custom PXA250 board only)
3076
3077 * Fix problem with usage of "true" (undefined in current versions of bfd.h)
3078
3079 * Add support for Promess ATC board
3080
3081 * Patch by Keith Outwater, 28 Apr 2003:
3082   - Miscellaneous corrections and additions to GEN860T board specific code.
3083   - Added GEN860_SC variant to GEN860T.
3084   - Miscellaneous corrections to GEN860T documentation.
3085   - Correct duplicate entry in U-Boot CREDITS file.
3086   - Add GEN860T_SC entry in MAINTAINERS file.
3087   - Update CREDITS file with GEN860T_SC info.
3088
3089 * Update Smiths Aerospace addresses in MAINTAINERS file
3090
3091 * Fix error handling in hush's version of "run" command
3092
3093 * LWMON extensions:
3094   - Splashscreen support
3095   - modem support
3096   - sysmon support
3097   - temperature dependend enabling of LCD
3098
3099 * Allow booting from old "PPCBoot" disk partitions
3100
3101 * Add support for TQM8255 Board / MPC8255 CPU
3102
3103 ======================================================================
3104 Changes for U-Boot 0.3.1:
3105 ======================================================================
3106
3107 * Make sure Block Lock Bits get cleared in R360MPI flash driver
3108
3109 * MPC823 LCD driver: Fill color map backwards, to allow for steady
3110   display when Linux takes over
3111
3112 * Patch by Erwin Rol, 27 Feb 2003:
3113   Add support for RTEMS (this time for real).
3114
3115 * Add support for "bmp info" and "bmp display" commands to load
3116   bitmap images; this can be used (for example in a "preboot"
3117   command) to display a splash screen very quickly after poweron.
3118
3119 * Add support for 133 MHz clock on INCA-IP board
3120
3121 * Patch by Lutz Dennig, 10 Apr 2003:
3122   Update for R360MPI board
3123
3124 * Add new meaning to "autostart" environment variable:
3125   If set to "no", a standalone image passed to the
3126   "bootm" command will be copied to the load address
3127   (and eventually uncompressed), but NOT be started.
3128   This can be used to load and uncompress arbitrary
3129   data.
3130
3131 * Patch by Stefan Roese, 10 Apr 2003:
3132   Changed DHCP client to use IP address from server option field #54
3133   from the OFFER packet in the server option field #54 in the REQUEST
3134   packet. This fixes a problem using a Windows 2000 DHCP server,
3135   where the DHCP-server is not the TFTP-server.
3136
3137 * Set max brightness for MN11236 displays on TRAB board
3138
3139 * Add support for TQM862L modules
3140
3141 ======================================================================
3142 Changes for U-Boot 0.3.0:
3143 ======================================================================
3144
3145 * Patch by Arun Dharankar, 4 Apr 2003:
3146   Add IDMA example code (tested on 8260 only)
3147
3148 * Add support for Purple Board (MIPS64 5Kc)
3149
3150 * Add support for MIPS64 5Kc CPUs
3151
3152 * Fix missing setting of "loadaddr" and "bootfile" on ARM and MIPS
3153
3154 * Patch by Denis Peter, 04 Apr 2003:
3155   - update MIP405-4 board
3156
3157 * Patch by Stefan Roese, 4 Apr 2003:
3158   - U-Boot version environment variable "ver" added
3159     (CONFIG_VERSION_VARIABLE).
3160   - Changed PPC405GPr version from A to B.
3161   - Changed CPCI405 to use CTS instead of DSR on PPC405 UART1.
3162
3163 * Patches by Denis Peter, 03 April 2003:
3164   - fix PCI IRQs on MPL boards
3165   - fix two more un-relocated pointer problems
3166
3167 * Fix behaviour of "run" command:
3168   - print error message iv variable does not exist
3169   - terminate processing of arguments in case of error
3170
3171 * Patches by Peter Figuli, 10 Mar 2003
3172   - Add support for BTUART on PXA platform
3173   - Add support for WEP EP250 (PXA) board
3174
3175 * Fix flash problems on INCA-IP; add tool to allow bruning images  to
3176   flash using a BDI2000
3177
3178 * Implement fix for I2C Edge Conditions problem for all boards that
3179   use the bit-banging driver (common/soft_i2c.c)
3180
3181 * Patch by Martin Winistoerfer, 23 Mar 2003
3182   - Add port to MPC555/556 microcontrollers
3183   - Add support for cmi customer board with
3184     Intel 28F128J3A, 28F320J3A or 28F640J3A flash.
3185
3186 * Patch by Rick Bronson, 28 Mar 2003:
3187   - fix common/cmd_nand.c
3188
3189 * Patch by Arun Dharankar, 24 Mar 2003:
3190   - add threads / scheduler example code
3191
3192 * Add patches by Robert Schwebel, 31 Mar 2003:
3193   - add ctrl-c support for kermit download
3194   - align bdinfo output on ARM
3195   - csb226 board: bring in sync with innokom/memsetup.S
3196   - csb226 board: fix MDREFR handling
3197   - misc doc fixes / extensions
3198   - innokom board: cleanup, MDREFR fix in memsetup.S, config update
3199   - add BOOT_PROGRESS to armlinux.c
3200
3201 * Add CPU ID, version, and clock speed for INCA-IP
3202
3203 * Patches by Dave Ellis, 18 Mar 2003 for SXNI855T board:
3204   - fix SRAM and SDRAM memory sizing
3205   - add status LED support
3206   - add MAC address for second (SCC1) ethernet port
3207
3208 * Update default environment for TQM8260 board
3209
3210 * Patch by Rick Bronson, 16 Mar 2003:
3211   - Add NAND flash support for reading, writing, and erasing NAND
3212     flash (certain forms of which are called SmartMedia).
3213   - Add support for Atmel AT91RM9200DK ARM920T based development kit.
3214
3215 * Patches by Robert Schwebel, 19 Mar 2003:
3216   - use arm-linux-gcc as default compiler for ARM
3217   - fix i2c fixup code
3218   - fix missing baudrate setting
3219   - added $loadaddr / CFG_LOAD_ADDR support to loadb
3220   - moved "ignoring trailing characters" _before_ u-boot wants to
3221     print out diagnostics messages; removes bogus characters at the
3222     end of transmission
3223
3224 * Patch by John Zhan, 18 Mar 2003:
3225   Add support for SinoVee Microsystems SC8xx boards
3226
3227 * Patch by Rolf Offermanns, 21 Mar 2003:
3228   ported the dnp1110 related changes from the current armboot cvs to
3229   current u-boot cvs. smc91111 does not work. problem marked in
3230   smc91111.c, grep for "FIXME".
3231
3232 * Patch by Brian Auld, 25 Mar 2003:
3233   Add support for STM flash chips on ebony board
3234
3235 * Add PCI support for MPC8250 Boards (PM825 module)
3236
3237 * Patch by Stefan Roese, 25 Mar 2003:
3238   - PCI405 update.
3239
3240 * Patch by Stefan Roese, 20 Mar 2003:
3241   - CPCI4052 update (support for revision 3).
3242   - Set edge conditioning circuitry on PPC405GPr for compatibility
3243     to existing PPC405GP designs.
3244   - Clip udiv to 5 bits on PPC405 (serial.c).
3245
3246 * Extend INCAIP board support:
3247   - add automatic RAM size detection
3248   - add "bdinfo" command
3249   - pass flash address and size to Linux kernel
3250   - switch to 150 MHz clock
3251
3252 * Avoid flicker on the TRAB's VFD by synchronizing the enable with
3253   the HSYNC/VSYNC. Requires new CPLD code (Version 101 for Rev. 100
3254   boards, version 153 for Rev. 200 boards).
3255
3256 * Patch by Vladimir Gurevich, 12 Mar 2003:
3257   Fix relocation problem of statically initialized string pointers
3258   in common/cmd_pci.c
3259
3260 * Patch by Kai-Uwe Blöm, 12 Mar 2003:
3261   Cleanup & bug fixes for JFFS2 code:
3262   - the memory mangement was broken. It caused havoc on malloc by
3263     writing beyond the block boundaries.
3264   - the length calculation for files was wrong, sometimes resulting
3265     in short file reads.
3266   - data copying now optionally takes fragment version numbers into
3267     account, to avoid copying from older data.
3268   See doc/README.JFFS2 for details.
3269
3270 * Patch by Josef Wagner, 12 Mar 2003:
3271   - 16/32 MB and 50/80 MHz support with auto-detection for IP860
3272   - ETH05 and BEDBUG support for CU824
3273   - added support for MicroSys CPC45
3274   - new BOOTROM/FLASH0 and DOC base for PM826
3275
3276 * Patch by Robert Schwebel, 12 Mar 2003:
3277   Fix the chpart command on innokom board
3278
3279 * Name cleanup:
3280   mv include/asm-i386/ppcboot-i386.h include/asm-i386/u-boot-i386.h
3281   s/PPCBoot/U-Boot/ in some files
3282   s/pImage/uImage/  in some files
3283
3284 * Patch by Detlev Zundel, 15 Jan 2003:
3285   Fix '' command line quoting
3286
3287 * Patch by The LEOX team, 19 Jan 2003:
3288   - add support for the ELPT860 board
3289   - add support for Dallas ds164x RTC
3290
3291 * Patches by David Müller, 31 Jan 2003:
3292   - minimal setup for CardBus bridges
3293   - add EEPROM read/write support in the CS8900 driver
3294   - add support for the builtin I2C controller in the Samsung s3c24x0 chips
3295   - add support for MPL's VCMA9 (Samsung s3c2410 based) board
3296
3297 * Patch by Steven Scholz, 04 Feb 2003:
3298   add support for RTC DS1307
3299
3300 * Patch by Reinhard Meyer, 5 Feb 2003:
3301   fix PLPRCR/SCCR init sequence on 8xx to allow for
3302   changes of EBDF by software
3303
3304 * Patch by Vladimir Gurevich, 07 Feb 2003:
3305   "API-compatibility patch" for 4xx I2C driver
3306
3307 * TRAB fixes / extensions:
3308   - Restore VFD brightness as saved in environment
3309   - add support for Fujitsu flashes
3310   - make sure both buzzers are turned off (drive low level)
3311
3312 * Patches by Robert Schwebel, 06 Mar 2003:
3313   - fix bug in BOOTP code (must use NetCopyIP)
3314   - update of CSB226 port
3315   - clear BSS segment on XScale
3316   - added support for i2c_init_board() function
3317   - update to the Innokom plattform
3318
3319 * Extend support for redundand environments for configurations where
3320   environment size < sector size
3321
3322 * Patch by Rune Torgersen, 13 Feb 2003:
3323   Add support for Motorola MPC8266ADS board
3324
3325 * Patch by Kyle Harris, 19 Feb 2003:
3326   patches for the Intel lubbock board:
3327   memsetup.S - general cleanup (based on Robert's csb226 code)
3328   flash.c - overhaul, actually works now
3329   lubbock.c - fix init funcs to return proper value
3330
3331 * Patch by Kenneth Johansson, 26 Feb 2003:
3332   - Fixed off by one in RFTA calculation.
3333   - No need to abort when LDF is lower than we can program it's only
3334     minimum timing so clamp it to what we can do.
3335   - Takes function pointer to function for reading the spd_nvram. Usefull
3336     for faking data or hardcode a module without the nvram.
3337   - fix other user for above change
3338   - fix some comments.
3339
3340 * Patches by Brian Waite, 26 Feb 2003:
3341   - fix port for evb64260 board
3342   - fix PCI for evb64260 board
3343   - fix PCI scan
3344
3345 * Patch by Reinhard Meyer, 1 Mar 2003:
3346   Add support for EMK TOP860 Module
3347
3348 * Patch by Yuli Barcohen, 02 Mar 2003:
3349   Add SPD EEPROM support for MPC8260ADS board
3350
3351 * Patch by Robert Schwebel, 21 Jan 2003:
3352   - Add support for Innokom board
3353   - Don't complain if "install" fails
3354   - README cleanup (remove duplicated lines)
3355   - Update PXA header files
3356
3357 * Add documentation for existing POST code (doc/README.POST)
3358
3359 * Patch by Laudney Ren, 15 Jan 2003:
3360   Fix handling of redundand environment in "tools/envcrc.c"
3361
3362 * Patch by Detlev Zundel, 28 Feb 2003:
3363   Add bedbug support for 824x systems
3364
3365 * Add support for 16 MB flash configuration of TRAB board
3366
3367 * Patch by Erwin Rol, 27 Feb 2003:
3368   Add support for RTEMS
3369
3370 * Add image information to README
3371
3372 * Patch by Stefan Roese, 18 Feb 2003:
3373   CPCIISER4 configuration updated.
3374
3375 * Patch by Stefan Roese, 17 Feb 2003:
3376   Fixed bug in ext. serial clock setup on PPC405 (since PPC440 port).
3377
3378 * Patch by Stefan Roese, 13 Feb 2003:
3379   Add "pcidelay" environment variable (in ms, enabled via
3380   CONFIG_PCI_BOOTDELAY).
3381   PCI spec 2.2 defines, that a pci target has 2^25 pci clocks after
3382   RST# to respond to configuration cycles (33MHz -> 1s).
3383
3384 * Fix dual PCMCIA slot support (when running with just one
3385   slot populated)
3386
3387 * Add VFD type detection to trab board
3388
3389 * extend drivers/cs8900.c driver to synchronize  ethaddr  environment
3390   variable with value in the EEPROM
3391
3392 * Patch by Stefan Roese, 10 Feb 2003:
3393   Add support for 4MB and 128MB onboard SDRAM (cpu/ppc4xx/sdram.c)
3394
3395 * Add support for MIPS32 4Kc CPUs
3396
3397 * Add support for INCA-IP Board
3398
3399 ======================================================================
3400 Changes for U-Boot 0.2.2:
3401 ======================================================================
3402
3403 * Add dual ethernet support on PM826
3404
3405 * Add support for LXT971 PHY on PM826
3406
3407 * Patch by Tord Andersson, 16 Jan 2003:
3408   Fix flash sector count for TQM8xxL
3409
3410 * Fix I2C EEPROM problem on ICU862 board (would only write the first
3411   16 bytes out of each 32 byte block)
3412
3413 ======================================================================
3414 Changes for U-Boot 0.2.1:
3415 ======================================================================
3416
3417 * Add support for V37 board
3418   (patch by Jón Benediktsson, 11 Dec 2002)
3419
3420 * Update baudrate in bd_info when it gets changed
3421
3422 * Add watchdog trigger points while waiting for serial port
3423   (so far only 8xx -- needed on LWMON with 100ms watchdog)
3424
3425 * Improve command line tool to access the U-Boot's environment
3426   (figuration of the utility, using a config file)
3427
3428 * Add single quote support for (old) command line parser
3429
3430 * Switch LWMON board default config from FRAM to EEPROM;
3431   in POST, EEPROM shows up on 8 addresses
3432
3433 ======================================================================
3434 Changes for U-Boot 0.2.0:
3435 ======================================================================
3436
3437 * Use 1-byte-read instead of -write for iprobe() function
3438   Add i2c commands to PM826 config
3439
3440 * extend I2C POST code: check for list on known addresses
3441
3442 * Improve log buffer code; use "loglevel" to decide which messages
3443   to log on the console, too (like in Linux); get rid of "logstart"
3444
3445 * Add command line tool to access the U-Boot's environment
3446   (board-specific for TRAB now, to be fixed later)
3447
3448 * Patch by Hans-Joerg Frieden, 06 Dec 2002
3449   Fix misc problems with AmigaOne support
3450
3451 * Patch by Chris Hallinan, 3 Dec 2002:
3452   minor cleanup to the MPC8245 EPIC driver
3453
3454 * Patch by Pierre Aubert , 28 Nov 2002
3455   Add support for external (SIU) interrupts on MPC8xx
3456
3457 * Patch by Pierre Aubert , 28 Nov 2002
3458   Fix nested syscalls bug in standalone applications
3459
3460 * Patch by David Müller, 27 Nov 2002:
3461   fix output of "pciinfo" command for CardBus bridge devices.
3462
3463 * Fix bug in TQM8260 board detection - boards got stuck when board ID
3464   was not readable
3465
3466 * Add LED indication for IDE activity on KUP4K board
3467
3468 * Fix startup problems with VFD display on TRAB
3469
3470 * Patch by Pierre Aubert, 20 Nov 2002
3471   Add driver for Epson SED13806 graphic controller.
3472   Add support for BMP logos in cfb_console driver.
3473
3474 * Added support for both PCMCIA slots (at the same time!) on MPC8xx
3475
3476 * Patch by Rod Boyce, 21 Nov 2002:
3477   fix PCMCIA on MBX8xx board
3478
3479 * Patch by Pierre Aubert , 21 Nov 2002
3480   Add CFG_CPM_POST_WORD_ADDR to make the offset of the
3481   bootmode word in DPRAM configurable
3482
3483 * Patch by Daniel Engström, 18 Nov 2002:
3484   Fixes for x86 port (mostly strings issues)
3485
3486 * Patch by Ken Chou, 18 Nov 2002:
3487   Fix for natsemi NIC cards (DP83815)
3488
3489 * Patch by Pierre Aubert, 19 Nov 2002:
3490   fix a bug for the MII configuration, and some warnings
3491
3492 * Patch by Thomas Frieden, 13 Nov 2002:
3493   Add code for AmigaOne board
3494   (preliminary merge to U-Boot, still WIP)
3495
3496 * Patch by Jon Diekema, 12 Nov 2002:
3497   - Adding URL for IEEE OUI lookup
3498   - Making the autoboot #defines dependent on CONFIG_AUTOBOOT_KEYED
3499     being defined.
3500   - In the CONFIG_EXTRA_ENV_SETTINGS #define, the root-on-initrd and
3501     root-on-nfs macros are designed to switch how the default boot
3502     method gets defined.
3503
3504 * Patch by Daniel Engström, 13 Nov 2002:
3505   Add support for i386 architecture and AMD SC520 board
3506
3507 * Patch by Pierre Aubert, 12 Nov 2002:
3508   Add support for DOS filesystem and booting from DOS floppy disk
3509
3510 * Patch by Jim Sandoz, 07 Nov 2002:
3511   Increase number of network RX buffers (PKTBUFSRX in
3512   "include/net.h") for EEPRO100 based boards (especially SP8240)
3513   which showed "Receiver is not ready" errors when U-Boot was
3514   processing the receive buffers slower than the network controller
3515   was filling them.
3516
3517 * Patch by Andreas Oberritter, 09 Nov 2002:
3518   Change behaviour of NetLoop(): return -1 for errors, filesize
3519   otherwise; return code 0 is valid an means no file loaded - in this
3520   case the environment still gets updated!
3521
3522 * Patches by Jon Diekema, 9 Nov 2002:
3523   - improve ADC/DAC clocking on the SACSng board to align
3524     the failing edges of LRCLK and SCLK
3525   - sbc8260 configuration tweaks
3526   - add status LED support for 82xx systems
3527   - wire sspi/sspo commands into command handler; improved error
3528     handlering
3529   - add timestamp support and alternate memory test to the
3530     SACSng configuration
3531
3532 * Patch by Vince Husovsky, 7 Nov 2002:
3533   Add "-n" to linker options to get rid of "Not enough room for
3534   program headers" problem
3535
3536 * Patch by David Müller, 05 Nov 2002
3537   Rename CONFIG_PLL_INPUT_FREQ to CONFIG_SYS_CLK_FREQ
3538   so we can use an already existing name
3539
3540 * Patch by Pierre Aubert, 05 Nov 2002
3541   Hardware relatied improvments in FDC boot code
3542
3543 * Patch by Holger Schurig, 5 Nov 2002:
3544   Make the PXA really change it's frequency
3545
3546 * Patch by Pierre Aubert, 05 Nov 2002
3547   Add support for slave serial Spartan 2 FPGAs
3548
3549 * Fix uninitialized memory (MAC  address)  in  8xx  SCC/FEC  ethernet
3550   drivers
3551
3552 * Add support for log buffer which can be passed to Linux kernel's
3553   syslog mechanism; used especially for POST results.
3554
3555 * Patch by Klaus Heydeck, 31 Oct 2002:
3556   Add initial support for kup4k board
3557
3558 * Patch by Robert Schwebel, 04 Nov 2002:
3559   - use watchdog to reset PXA250 systems
3560   - added progress callbacks to (some of the) ARM code
3561   - update for Cogent CSB226 board
3562
3563 * Add support for FPS860 board
3564
3565 * Patch by Guillaume Alexandre,, 04 Nov 2002:
3566   Improve PCI access on 32-bits Compact PCI bus
3567
3568 * Fix mdelay() on TRAB - this was still the debugging version with
3569   seconds instead of ms.
3570
3571 * Patch by Robert Schwebel, 1 Nov 2002:
3572   XScale related cleanup (affects all ARM boards)
3573
3574 * Cleanup of names and README.
3575
3576 ======================================================================
3577 Notes for U-Boot 0.1.0:
3578 ======================================================================
3579
3580 This is the initial version of "Das U-Boot", the Universal Boot Loader.
3581
3582 It is based on version 2.0.0 (the "Halloween Release") of PPCBoot.
3583 For information about the history of the project please see the
3584 PPCBoot project page at http://sourceforge.net/projects/ppcboot
3585
3586 ======================================================================